Re: UPDATE: magic

2021-12-29 Thread Alessandro De Laurenzis
circuit layouts DISTNAME =magic-8.3.137 +REVISION = 0 EXTRACT_SUFX =.tgz CATEGORIES = cad @@ -11,16 +12,17 @@ MAINTAINER =Alessandro De Laurenzis -WANTLIB = ${MODTK_WANTLIB} c cairo fontconfig freetype m GL GLU X11 +WANTLIB = ${MODTK_WANTLIB} GL GLU X11 Xext

Re: [UPDATE] x11/menumaker 0.99.12 -> 0.99.14

2021-12-08 Thread Alessandro De Laurenzis
(Bi-)weekly ping, diff reattached. On 21/11/2021 11:29, Alessandro De Laurenzis wrote: Greetings, The attached diff updates x11/menumaker to the latest release. Upstream, this is just a bug-fix release; no significant changes in port. All the best -- Alessandro De Laurenzis [mailto:jus

[UPDATE] x11/menumaker 0.99.12 -> 0.99.14

2021-11-21 Thread Alessandro De Laurenzis
Greetings, The attached diff updates x11/menumaker to the latest release. Upstream, this is just a bug-fix release; no significant changes in port. All the best -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com

Re: make: don't know how to make .tgz (prerequisite of: _internal-package-only)

2021-08-01 Thread Alessandro De Laurenzis
mal user (I verified that running the target as root all is ok). -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis

Re: make: don't know how to make .tgz (prerequisite of: _internal-package-only)

2021-07-31 Thread Alessandro De Laurenzis
Hello Solène, On 31/07/2021 15:53, Solène Rapenne wrote: Le Sat, 31 Jul 2021 15:37:31 +0200, Alessandro De Laurenzis a écrit : Greetings, I'm trying to update math/eigen3 (which makes use of PSEUDO_FLAVORS). Even using the version currently in the tree, when I try to make the package

make: don't know how to make .tgz (prerequisite of: _internal-package-only)

2021-07-31 Thread Alessandro De Laurenzis
not very carefully, I have to admit...), but I didn't find anything relevant for this specific problem. Cheers -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis

[REMOVE] cad/qucs-s GUI broken

2021-07-25 Thread Alessandro De Laurenzis
to mind, as I mentioned in my previous e-mail). OK from maintainer if anyone wants to remove this port. Cheers [1]: https://marc.info/?l=openbsd-ports=161168750901727=2 -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http

Re: OpenBSD ports: graywolf broken

2021-07-02 Thread Alessandro De Laurenzis
Weekly ping! Il 28 giugno 2021 14:02:31 CEST, Alessandro De Laurenzis ha scritto: >Hello Jasper, > >There are indeed some header files named "dialog.h" spread into the >source code, causing a clash when /usr/local/include/dialog.h is >present. > >I'm not a

Re: OpenBSD ports: graywolf broken

2021-06-28 Thread Alessandro De Laurenzis
/local/include/dialog.h:59: /usr/include/ncurses.h:1216:32: error: expected identifier or '(' extern NCURSES_EXPORT_VAR(int) COLORS; ^ Could you have a look at this please? Cheers, Jasper -- Alessandro De Laurenzis [mailto:jus.

[FIX] lang/iverilog-11.0 requires a PLIST update

2021-05-23 Thread Alessandro De Laurenzis
Greetings, With the latest lang/iverilog update, it seems that the 'update-plist' target hasn't been run; we ended up with some missing files (among others, vhdl_textio.vpi, which is required by recent cad/yosys test suite). Diff attached (I bumped REVISION). Cheers -- Alessandro De

[UPDATE] cad/yosys 0.9p1 -> 0.9+4081

2021-05-23 Thread Alessandro De Laurenzis
igure more consistent through all archs; - patch upstream Makefile to remove "-lrt" and "-ldl" from LD_LIBS; - usual patches and PLIST rebase. Cheers [1] https://github.com/YosysHQ/yosys/compare/yosys-0.9...master -- Alessandro De Laurenzis [mailto:jus...@atlantide

[UPDATE] cad/abc 1.01.20200108 -> 1.01.20210519

2021-05-23 Thread Alessandro De Laurenzis
there, slightly adjust the indentation in the port's Makefile. Cheers [1] https://github.com/berkeley-abc/abc/commits/master -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis Index: Makefile

[UPDATE] graphics/ipe 7.2.20 -> 7.2.24

2021-05-08 Thread Alessandro De Laurenzis
-src_ipeui_Makefile and patch-src_ipepresenter_Makefile; * usual re-basing for existing patches, PLIST, distinfo, etc. Lightly tested on amd64 only. All the best -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis

[UPDATE] cad/qrouter 1.4.77 -> 1.4.84

2021-03-28 Thread Alessandro De Laurenzis
] http://opencircuitdesign.com/qrouter/history.html -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis Index: Makefile === RCS file: /cvs

shells/tcsh 6.22.02 -> 6.22.03 update breaks :h modifier behavior

2021-03-21 Thread Alessandro De Laurenzis
. This is a point that should be discussed upstream, but being the 6.9 release very close, would it be possible to revert tcsh to the previous version? Or maybe a patch on top of 6.22.03 is more convenient (but I didn't dig into that...)? -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com

[UPDATE] cad/netgen 1.5.138p0 -> 1.5.171

2021-03-06 Thread Alessandro De Laurenzis
[2]). What's new in the port == Very trivial update (DISTNAME, distinfo and the result of 'make update-patches') All the best [1] http://opencircuitdesign.com/netgen/history.html [2] https://marc.info/?l=openbsd-ports=160303328223947=2 -- Alessandro De Laurenzis

[UPDATE] cad/magic 8.2.190 -> 8.3.137

2021-03-06 Thread Alessandro De Laurenzis
). Slightly tested on amd64 only. All the best [1] http://opencircuitdesign.com/magic/history.html -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis Index: Makefile

Re: Qt4 on diet or to hell

2021-01-26 Thread Alessandro De Laurenzis
cad/qucs-s is the only functional GUI for SPICE-like simulators on OpenBSD. To be honest Qt4 applications are looking a little bit broken. Alessandro De Laurenzis has described this here: https://marc.info/?l=openbsd-ports=160733053308591=2 I see two options. Number 1: We say goodbye to Qt4

Re: [NEW] graphics/libspiro 20200505

2021-01-22 Thread Alessandro De Laurenzis
Weekly ping. Tarball re-attached. All the best On 17/01/2021 12:39, Alessandro De Laurenzis wrote: Greetings, A year ago there has been an attempt to import this library (see [1]). At that time the port was put "on-hold" since there were 2 issues in the test suite; upstream has c

[NEW] graphics/libspiro 20200505

2021-01-17 Thread Alessandro De Laurenzis
nfo/?l=openbsd-ports=157632829607829=2 [2] https://github.com/fontforge/libspiro/issues/21 [3] https://github.com/fontforge/libspiro/issues/22 -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis libspir

[UPDATE] graphics/xdot 1.1p1 -> 1.2

2021-01-17 Thread Alessandro De Laurenzis
. What's new in the port == Very trivial update: just bump MODPY_EGG_VERSION and distinfo. All the best -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis Index: Makefile

Re: cad/opensta build failure (cmake?)

2021-01-01 Thread Alessandro De Laurenzis
rts/opensta-2.2.0/build-amd64/.configure_done': @cd /u...) *** Error 2 in cad/opensta (/usr/ports/infrastructure/mk/bsd.port.mk:2587 'configure': @lock=opensta-2.2.0; export _LOCKS_HELD=" opensta-2) ===> Exiting cad/opensta with an error *** Error 1 in /usr/ports

Re: QT4 UI got broken?

2020-12-12 Thread Alessandro De Laurenzis
Friendly ping. Anybody willing to have a look? All the best On December 7, 2020 9:41:03 AM GMT+01:00, Alessandro De Laurenzis wrote: >Greetings, > >I recently observed some glitches in qucs-s (one of the ports that I >maintain and one of the few survivors in the QT4 ecosystem),

QT4 UI got broken?

2020-12-07 Thread Alessandro De Laurenzis
), so I kindly ask for your help. Of course, I'm available to try any kind of patch, just let me know. Copying espie@ (maintainer) and Rafael. All the best -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis

Re: [UPDATE] x11/menumaker 0.99.11p0 -> 0.99.12

2020-11-14 Thread Alessandro De Laurenzis
Weekly ping. Diff re-attached. Cheers On 07/11/2020 16:21, Alessandro De Laurenzis wrote: Greetings, The attached diff updates x11/menumaker to the latest release. What's new upstream === This is mainly a bugfix release; on top of that, support for Sakura, QTerminal

Re: [NEW] Script for semi-automatic mount/umount operations

2020-11-14 Thread Alessandro De Laurenzis
Weekly ping. Anyone interested? Tarball re-attached. Cheers On 08/11/2020 04:20, Alessandro De Laurenzis wrote: Greetings, This is the proposal for a new port: sysutils/amount Disclaimer: I know that this kind of scripts are hardly ever accepted by OpenBSD community, nonetheless I use

Re: [NEW] Script for semi-automatic mount/umount operations

2020-11-08 Thread Alessandro De Laurenzis
100, Alessandro De Laurenzis >wrote: >> Greetings, >> >> This is the proposal for a new port: sysutils/amount >> >> Disclaimer: I know that this kind of scripts are hardly ever accepted >by >> OpenBSD community, nonetheless I use it on a daily basis a

[NEW] Script for semi-automatic mount/umount operations

2020-11-07 Thread Alessandro De Laurenzis
style of the script (and the man page); see [1]. Any feedback welcome. All the best [1] https://github.com/just22/amount -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis amount.tar.gz Description: application/gzip

[UPDATE] x11/menumaker 0.99.11p0 -> 0.99.12

2020-11-07 Thread Alessandro De Laurenzis
new in the port == Since this is a trivial update, I took the opportunity to adjust the Makefile's indentation (hoping this doesn't create troubles for the review). All the best -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com

[FIX] Unbreak cad/netgen for mips64

2020-10-18 Thread Alessandro De Laurenzis
, no regression found in my verification environment); if it's confirmed that the port compiles correctly on mips64 afterwards, I'll work with upstream in order to merge it in a future release. [1] http://build-failures.rhaalovely.net/mips64/2020-10-09/cad/netgen.log Cheers -- Alessandro De

Re: [UPDATE] cad/opensta 2.0.18.20200308 -> 2.2.0

2020-09-25 Thread Alessandro De Laurenzis
Weekly ping. Diff re-attached. On 19/09/2020 10:50, Alessandro De Laurenzis wrote: Greetings, The attached diff updates cad/opensta to a just published release. What's new upstream === * Github user is now "The-OpenROAD-Project" and some days ago they made available

[UPDATE] cad/opensta 2.0.18.20200308 -> 2.2.0

2020-09-19 Thread Alessandro De Laurenzis
the Github page IMO (and OpenSTA is clearly listed in their open-source tool-chain); * Nitpicking on tab alignment. All tests are passing. New binary is working flawlessly in my regression environment. Cheers -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlanti

Re: [UPDATE] graphics/ipe 7.2.13 -> 7.2.20

2020-09-14 Thread Alessandro De Laurenzis
Yet another ping. Diff reattached. On 01/09/2020 16:09, Alessandro De Laurenzis wrote: Bi-weekly ping! Diff re-attached. On 21/08/2020 16:43, Alessandro De Laurenzis wrote: Weekly ping. Re-attaching diff to ease the review process. Cheers On 15/08/2020 13:35, Alessandro De Laurenzis wrote

Re: [UPDATE] graphics/ipe 7.2.13 -> 7.2.20

2020-09-01 Thread Alessandro De Laurenzis
Bi-weekly ping! Diff re-attached. On 21/08/2020 16:43, Alessandro De Laurenzis wrote: Weekly ping. Re-attaching diff to ease the review process. Cheers On 15/08/2020 13:35, Alessandro De Laurenzis wrote: Dear ports@ readers, The attached diff (gzip-ed in order to avoid issues with MSDOS

Re: [UPDATE] graphics/ipe 7.2.13 -> 7.2.20

2020-08-21 Thread Alessandro De Laurenzis
Weekly ping. Re-attaching diff to ease the review process. Cheers On 15/08/2020 13:35, Alessandro De Laurenzis wrote: Dear ports@ readers, The attached diff (gzip-ed in order to avoid issues with MSDOS line endings in emailing) updates graphics/ipe to the latest release. What's new upstream

[UPDATE] graphics/ipe 7.2.13 -> 7.2.20

2020-08-15 Thread Alessandro De Laurenzis
t-lib-depends-check'; * patch-src_ipelib_ipelatex_cpp removed. Lightly tested on amd64 only. All the best -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis ipe.diff.gz Description: application/gzip

[BROKEN] cad/qucs-s and ninja 1.9.0

2020-07-19 Thread Alessandro De Laurenzis
not easy to test all the functions), so I can't propose a definite solution. The enclosed diff simply reverts the patches that you added/modified, but it is of course only a temporary workaround. Any hints? [1] https://marc.info/?l=openbsd-ports=156060814707307=2 -- Alessandro De L

Re: [NEW] cad/qflow

2020-07-02 Thread Alessandro De Laurenzis
Still another ping. This is already ok sthen@ to import (but not yet in the tree). Any other developer available to give it a try? Tarball re-attached. On 26/06/2020 18:19, Stuart Henderson wrote: This is OK sthen@ to import. On 2020/06/26 16:35, Alessandro De Laurenzis wrote: Weekly ping

Re: [NEW] cad/qflow

2020-06-26 Thread Alessandro De Laurenzis
Just a note: On 26/06/2020 16:35, Alessandro De Laurenzis wrote: [...] If you would like to play a bit with the port: - make a new directory (e.g. ./qflow-trial) and copy there the enclosed map9v3.v file; - change to that dir and run 'qflow gui'; - in the 'synthesys preparation' tab

Re: [NEW] cad/qflow

2020-06-26 Thread Alessandro De Laurenzis
| cell: (408) 828-8212 | ++-----+ -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis qflow-1.4.83.tar.gz Description: a

[NEW] cad/qflow

2020-06-21 Thread Alessandro De Laurenzis
advice how to get rid of them otherwise). Tested on amd64 only. Taking MAINTAINER. Tarball attached. [1] https://marc.info/?l=openbsd-ports=158363208902918=2 -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in

Re: update mail/claws-mail

2020-05-21 Thread Alessandro De Laurenzis
Just out of my curiosity, is there any way to change the date format in the vcalendar plugin? It seems it doesn't react to LC_TIME/LC_ALL... On May 21, 2020 8:14:48 PM GMT+02:00, Solene Rapenne wrote: >Le Thu, 14 May 2020 16:32:07 +0200, >Solene Rapenne a écrit : > >> This updates claws-mail

[FIX] wmctrl on 64-bit systems

2020-05-09 Thread Alessandro De Laurenzis
e best [1] https://gitweb.gentoo.org/repo/gentoo.git/tree/x11-misc/wmctrl/files/amd64-Xlib.patch -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis Index

Re: [UPDATE] x11/menumaker 0.99.10 -> 0.99.11

2020-04-18 Thread Alessandro De Laurenzis
Greeting, Weekly ping, diff re-attached. On 12/04/2020 - 16:08, Alessandro De Laurenzis wrote: Greetings, The attached diff updates x11/menumaker to the latest revision. What's new upstream === Support for JWM What's new in the port == - updated

[UPDATE] x11/menumaker 0.99.10 -> 0.99.11

2020-04-12 Thread Alessandro De Laurenzis
Greetings, The attached diff updates x11/menumaker to the latest revision. What's new upstream === Support for JWM What's new in the port == - updated maintainer email address Tested on amd64 only. -- Alessandro De Laurenzis [mailto:jus

Re: NEW: x11/tigervnc

2020-03-11 Thread Alessandro De Laurenzis
Hello Stuart, Wouldn't it be "tigervncviewer" a more suitable name for binary/manpage? On March 10, 2020 11:38:48 PM GMT+01:00, Stuart Henderson wrote: >ok to import this? > >--- >TigerVNC is a high-performance, platform-neutral implementation of VNC >(Virtual Network Computing), a

[UPDATE] cad/qucs-s 0.0.21p2 -> 0.0.22

2020-03-08 Thread Alessandro De Laurenzis
just for that (there are also examples for Octave...). Tested on amd64 only. -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis Index: Makefile

[UPDATE] cad/opensta 2.0.12.20190329 -> 2.0.18.20200308

2020-03-08 Thread Alessandro De Laurenzis
ion files, I left it as it was; if it should be removed, just let me know; - there is now a regression suite (very basic, but still useful); I modified the Makefile to allow its execution; all tests are OK. Tested on amd64 only. -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com]

[UPDATE] cad/magic 8.1.224 -> 8.2.190

2020-03-07 Thread Alessandro De Laurenzis
on amd64 only. [1] https://marc.info/?l=openbsd-ports=155255289309963=2 [2] https://github.com/RTimothyEdwards/magic/issues/8 -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis ? patches/off-p

Re: [UPDATE] cad/netgen 1.5.118 -> 1.5.137 -> 1.5.138

2020-02-22 Thread Alessandro De Laurenzis
to the latest release (this is the only modification added to those I described earlier). Charlene, please double-check and let me know. All the best [1] https://github.com/RTimothyEdwards/netgen/issues/2 On 15/02/2020 - 18:42, Alessandro De Laurenzis wrote: Greetings, The attached diff updates

[UPDATE] cad/qrouter 1.4.76 -> 1.4.77

2020-02-22 Thread Alessandro De Laurenzis
[1] https://marc.info/?l=openbsd-ports=158177942206759=2 -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaurenzis Index: Makefile === RCS file

[UPDATE] cad/netgen 1.5.118 -> 1.5.137

2020-02-15 Thread Alessandro De Laurenzis
ted install); - all other differences are trivial updates. Lightly tested on amd64 only. -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/delaure

Re: [UPDATE] cad/qrouter 1.4.74 -> 1.4.76

2020-02-15 Thread Alessandro De Laurenzis
-implicit-function-declaration removed. Maybe it isn't a big effort to clean-up the code from those warnings, I'll have a look and submit a PR upstream if I'm able to reach a satisfying picture (but this will take time...) All the best -- Alessandro De Laurenzis [mailto:jus

[UPDATE] cad/qrouter 1.4.74 -> 1.4.76

2020-02-15 Thread Alessandro De Laurenzis
the build log, adding -Wno-implicit-function-declaration to CFLAG. Tested on amd64 only; before committing, I would prefer to have the confirmation from cwen@ (in cc) that code now compiles with base-gcc. All the best [1] https://marc.info/?l=openbsd-ports=158106657929871=2 -- Alessandro De

[UPDATE] cad/qrouter 1.4.50 -> 1.4.74

2020-02-02 Thread Alessandro De Laurenzis
d install) -- PLEASE REVIEW THIS POINT CAREFULLY; - dropped all patches used to add "#include " (no more needed since when we jumped from 1.3.X to 1.4.Y; I noticed that only recently, my bad); - all other differences are trivial updates. Lightly tested on amd64 only. All the be

[UPDATE] graphics/ipe 7.2.12 -> 7.2.13

2020-01-18 Thread Alessandro De Laurenzis
What's new in the port == - Updated maintainer's email address; - bumped shared libraries major number. Other modifications are trivial updates. Lightly tested on amd64 only. All the best -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.co

[UPDATE] graphics/xdot 1.0p0 -> 1.1

2020-01-18 Thread Alessandro De Laurenzis
What's new in the port == - Updated maintainer's email address; - ${MODPY_COMMENT} lines updated in PLIST. Lightly tested on amd64 only. All the best -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web: http://www.atlantide.mooo.com LinkedIn: http://it.linkedin.com/in/d

Re: [UPDATE] cad/abc 1.01.20180722p0 -> abc-1.01.20200108

2020-01-16 Thread Alessandro De Laurenzis
Weekly ping! Diff re-attached. On 10/01/2020 - 20:45, Alessandro De Laurenzis wrote: Dear ports@ readers, The attached diff updates cad/abc to a very recent commit (still no releases/tags from upstream). What's new upstream === Plenty of new features and bug fixing

[UPDATE] cad/abc 1.01.20180722p0 -> abc-1.01.20200108

2020-01-10 Thread Alessandro De Laurenzis
unused-variable" since it would be overridden by the -Wall explicitly set into the CFLAGS variable. It compiles correctly on amd64 and runs ok for a limited set of test-cases. (1): https://github.com/OSGeo/gdal/issues/1163 -- Alessandro De Laurenzis [mailto:jus...@atlantide.mooo.com] Web:

Re: [UPDATE] graphics/ipe 7.2.11 -> 7.2.12

2019-07-03 Thread Alessandro De Laurenzis
Ping! On June 27, 2019 10:06:17 AM GMT+02:00, Alessandro DE LAURENZIS wrote: >Hello Brian, > >On 22/06/2019 23:40, Brian Callahan wrote: >> >> >> On 6/22/19 12:51 PM, Alessandro De Laurenzis wrote: >>> Ping! >> >> This needs library bumps.

Re: [NEW] x11/gsimplecal

2019-06-27 Thread Alessandro DE LAURENZIS
) at gsimplecal.cpp:75 I've added a patch to work around the problem, comments welcome. This isn't in the tree yet; I've of course tried your updated tarball and all is ok. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com

Re: [UPDATE] graphics/ipe 7.2.11 -> 7.2.12

2019-06-27 Thread Alessandro DE LAURENZIS
Hello Brian, On 22/06/2019 23:40, Brian Callahan wrote: On 6/22/19 12:51 PM, Alessandro De Laurenzis wrote: Ping! This needs library bumps. Thanks for your feedback and sorry, I've never fully understood this topic... (any hints to relevant docs would be appreciated). Please find

Re: [UPDATE] graphics/ipe 7.2.11 -> 7.2.12

2019-06-22 Thread Alessandro De Laurenzis
Ping! Il 13 giugno 2019 08:11:44 CEST, Alessandro DE LAURENZIS ha scritto: >Dear ports@ readers, > >please find enclosed a diff for updating graphics/ipe to the latest >release. > >What's new upstream >=== >> * Image objects now support

[UPDATE] graphics/ipe 7.2.11 -> 7.2.12

2019-06-13 Thread Alessandro DE LAURENZIS
=== We have to add a trigger for strtod(3) usage in another part of ipeplatform.cpp Other modifications are trivial updates. Tested on amd64 only. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/i

[NEW] x11/xeventbind

2019-06-12 Thread Alessandro DE LAURENZIS
DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ xeventbind.tar.gz Description: application/gzip

[NEW] x11/gsimplecal

2019-06-11 Thread Alessandro DE LAURENZIS
. Tested on amd64 only, taking MAINTAINER, tarball attached. All the best -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ gsimplecal.tar.gz Description: application/gzip

Re: [FIX] cad/netgen on sparc64

2019-06-07 Thread Alessandro DE LAURENZIS
pen after installing the patch (tested on amd64 only). All the best -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/

Re: audio/radiotray

2019-05-07 Thread Alessandro De Laurenzis
Hello Fred, FWIW, I use it. Il 6 maggio 2019 21:39:28 CEST, Fred ha scritto: >Hi ports@, > >I'm the maintainer of audio/radiotray - it still works - but it is no >longer maintained upstream, and probably should be re-written in >Python3... > >Now that we have gradio do we need radiotray? >

Re: [FIX] cad/netgen on sparc64

2019-05-07 Thread Alessandro DE LAURENZIS
NFIGURE_ARGS = --with-tcl=${MODTCL_LIBDIR} \ --with-tk=${MODTK_LIBDIR} Sure, that looks good to me. Is the REVISION bump needed? -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/

Re: [FIX] cad/netgen on sparc64

2019-05-05 Thread Alessandro DE LAURENZIS
Hello Jeremie, On 05/05/2019 15:10, Jeremie Courreges-Anglas wrote: On Sun, May 05 2019, Alessandro DE LAURENZIS wrote: [...] Maybe there are lots of those warnings and fixing them all means patching, but this is technically the wrong approach. The right approach is to include

[FIX] cad/netgen on sparc64

2019-05-05 Thread Alessandro DE LAURENZIS
me effect. I don't have a sparc64 station, so I'm asking for confirmation. I'm not entirely sure that we need a revision bump; if not, just let me know and I'll amend the diff. [1] https://marc.info/?l=openbsd-ports=155686934021110=2 -- Alessandro DE LAURENZIS [mailto:jus...@atl

Re: [NEW] cad/qucs-s-0.0.21

2019-05-01 Thread Alessandro DE LAURENZIS
Hi Anthony, thanks for your feedback. On 01/05/2019 09:34, Anthony J. Bentley wrote: Alessandro DE LAURENZIS writes: Dear ports@ readers, here is a proposal for a new port: cad/qucs-s, an universal GUI for SPICE and not-SPICE circuit simulators. Can you use the stable distfiles under

[NEW] cad/qucs-s-0.0.21

2019-05-01 Thread Alessandro DE LAURENZIS
amd64 only. Taking MAINTAINER. Tarball attached. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ qucs-s.tar.gz Description: application/gzip

Re: cad/yosys: enabling "show" feature

2019-04-29 Thread Alessandro DE LAURENZIS
Ping! On 25/04/2019 16:59, Alessandro DE LAURENZIS wrote: Dear ports@ readers, now that graphics/xdot is in the tree, we can enable the "show" function in cad/yosys. It works flawlessly, provided that: - graphics/xdot is added to the RUN_DEPENDS list; - the file passes/cm

Re: [UPDATE] graphics/ipe 7.2.9 -> 7.2.11

2019-04-27 Thread Alessandro DE LAURENZIS
Ping! On 22/04/2019 11:45, Alessandro DE LAURENZIS wrote: Dear ports@ readers, the attached diff (gzip-ed in order to avoid issues with MSDOS line endings during emailing) updates graphics/ipe to the lastest release. What's new upstream === 7.2.9  -> 7.2.10: see [1] 7.2

cad/yosys: enabling "show" feature

2019-04-25 Thread Alessandro DE LAURENZIS
an the GNU counterpart. While there, I slightly modified the "do-configure" target, passing $MAKE_ENV to the ${MAKE_PROGRAM} environment to avoid two annoying (although harmless) "bash: tclsh: command not found" errors. Diff attached. -- Alessandro DE LAURENZIS [mailto:jus..

Re: [NEW] graphics/xdot-1.0 [It was: [NEW] math/xdot-1.0]

2019-04-24 Thread Alessandro DE LAURENZIS
Hello, gentle reminder. This is already ok sthen@ Anyone willing to import? On 20/04/2019 12:31, Stuart Henderson wrote: On 2019/04/20 12:25, Alessandro DE LAURENZIS wrote: Hello Stuart, On 18/04/2019 18:07, Stuart Henderson wrote: [...] - the pypi tarball doesn't include the tests, which

[UPDATE] graphics/ipe 7.2.9 -> 7.2.11

2019-04-22 Thread Alessandro DE LAURENZIS
pipermail/ipe-announce/2019-February/77.html [2] https://mailman.science.uu.nl/pipermail/ipe-announce/2019-March/82.html -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ ipe.diff.gz Description: application/gzip

Re: [NEW] graphics/xdot-1.0 [It was: [NEW] math/xdot-1.0]

2019-04-20 Thread Alessandro DE LAURENZIS
} Fixed. Updated tarball attached. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ xdot.tar.gz Description: application/gzip

[UPDATE] cad/qrouter 1.3.109 -> 1.4.50

2019-04-17 Thread Alessandro DE LAURENZIS
till needed. Tested on amd64 only. [1] http://opencircuitdesign.com/qrouter/history.html -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ Index: Makefile ==

[NEW] graphics/xdot-1.0 [It was: [NEW] math/xdot-1.0]

2019-04-17 Thread Alessandro DE LAURENZIS
After-unlock ping! I think 'graphics' is more appropriate as category. All tests are ok. Updated tarball attached. On 31/03/2019 14:23, Alessandro DE LAURENZIS wrote: Dear ports@ readers, this is a proposal for a new port: xdot, an interactive viewer for Graphviz dot graphs. DESCR

[NEW] math/xdot-1.0

2019-03-31 Thread Alessandro DE LAURENZIS
e pypi tarball doesn't include the tests, which I instead enabled using the one from github; is this acceptable? Taking MAINTAINER, works fine on amd64. Tarball attached. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://w

[UPDATE] OpenSTA 2.0.11.20190327 -> 2.0.12.20190329

2019-03-30 Thread Alessandro DE LAURENZIS
modifications and bumped the version number (but I prefer to keep the commit date in the package revision). Diff attached. [1] https://github.com/abk-openroad/OpenSTA/issues/21 -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https

Re: [NEW/WIP] Qflow porting // [7/7] opensta-20190320

2019-03-27 Thread Alessandro DE LAURENZIS
Cleanup_tcl no needed anymore. Updated tarball attached. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ opensta.tar.gz Description: application/gzip

Re: [NEW/WIP] Qflow porting // [7/7] opensta-20190320

2019-03-26 Thread Alessandro DE LAURENZIS
Hello Stuart, On 22/03/2019 19:26, Stuart Henderson wrote: On 2019/03/22 16:29, Alessandro DE LAURENZIS wrote: My main concern with the port is related to the license; although it is clear that the software is released under the GPLv3, as confirmed by all source code file headers, I'm puzzled

[NEW/WIP] Qflow porting // [7/7] opensta-20190320

2019-03-22 Thread Alessandro DE LAURENZIS
the express written consent of Parallax Software, Inc. What do you think? Taking MAINTAINER, port's tarball attached. [1] http://opencircuitdesign.com/pipermail/eda-dev/2019-March/000127.html [2] https://marc.info/?l=openbsd-ports=155319441906710=2 -- Alessandro DE LAURENZIS [mailto:jus

Re: [NEW] cudd-3.0.0

2019-03-22 Thread Alessandro DE LAURENZIS
n patching for gdiff with this added, OK sthen@ to import. [...] Works for me, no objections. Thanks a lot. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/

Re: [NEW] cudd-3.0.0

2019-03-22 Thread Alessandro DE LAURENZIS
Hello, On 22/03/2019 10:27, Alessandro DE LAURENZIS wrote: Hello Anthony, thanks a lot for your feedback. On 22/03/2019 06:16, Anthony J. Bentley wrote: Hi Alessandro, Alessandro DE LAURENZIS writes: All tests are PASS, provided that we use gdiff(1) instead of diff(1) for the dddmp set

Re: [NEW] cudd-3.0.0

2019-03-22 Thread Alessandro DE LAURENZIS
Hello Anthony, thanks a lot for your feedback. On 22/03/2019 06:16, Anthony J. Bentley wrote: Hi Alessandro, Alessandro DE LAURENZIS writes: All tests are PASS, provided that we use gdiff(1) instead of diff(1) for the dddmp set. Careful... -# Store corresponding CNF in different format

[NEW] cudd-3.0.0

2019-03-21 Thread Alessandro DE LAURENZIS
that we use gdiff(1) instead of diff(1) for the dddmp set. One thing I'm not able to do is to force the name of the .so file to be libcudd.so.0.0, instead of libcudd-3.0.0.so.0.0. Could someone point me in the right direction? Taking MAINTAINER, tarball attached. -- Alessandro DE LAURENZIS

Re: [NEW/WIP] Qflow porting // [6/7] netgen 1.5.118

2019-03-19 Thread Alessandro DE LAURENZIS
wouldn't bother patching away the echo's in configure though. I removed the CFLAGS definition in script/configure, but still patched away the echo lines (for me the message is misleading and I made the same for some other ports); hope this is acceptable. Updated tarball attached. -- Alessandro DE LA

[Update] qrouter 1.3.104 -> 1.3.109

2019-03-19 Thread Alessandro DE LAURENZIS
Dear ports@ readers, here is a trivial update of qrouter to the latest stable release. No significant change, bug fixing only. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ Index: Makefile

[NEW/WIP] Qflow porting // [6/7] netgen 1.5.118

2019-03-19 Thread Alessandro DE LAURENZIS
(please note that no manpage exists for this tool). Taking MAINTAINER, tarball attached. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ netgen.tar.gz Description: application/gzip

Re: [NEW/WIP] Qflow porting // [5/7] magic 8.1.224 (or 8.2.100?)

2019-03-14 Thread Alessandro DE LAURENZIS
report this upstream soon. Please find attached a tarball for 8.2.100 release, lightly tested on amd64. Let me know what you prefer to do. [1] http://opencircuitdesign.com/pipermail/magic-dev/2012/000583.html -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t2

Re: [NEW/WIP] Qflow porting // [5/7] magic 8.1.224

2019-03-08 Thread Alessandro DE LAURENZIS
l. [1] https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=698760 -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ magic.tar.gz Description: application/gzip

Re: [NEW/WIP] Qflow porting // [5/7] magic 8.1.224

2019-03-06 Thread Alessandro DE LAURENZIS
I forgot to say that the port installs the "magic.1" man page; this isn't a real conflict with magic(5), but could be a bit confusing; please let me know if it is worth to change the man page name (is something like magic-vlsi more appropriate?) On 07/03/2019 06:12, Alessandro DE

[NEW/WIP] Qflow porting // [5/7] magic 8.1.224

2019-03-06 Thread Alessandro DE LAURENZIS
understand if those functions are actually needed when the Tcl/Tk version is used (this is the case for our port). Taking MAINTAINER, tarball attached. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis

[Update] editors/nedit 5.5 -> 5.7

2019-02-24 Thread Alessandro DE LAURENZIS
. Content of enclosed diff is already ok sthen@ [1] http://ajbj.free.fr/nedit/nedit-scripts/INTERESTING/utilsrc/nedit/myNEditPatches/HairlineMargin.diff [2] https://marc.info/?l=openbsd-ports=154970588028859=2 -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net

Re: [Update] Ipe 7.2.9

2019-02-24 Thread Alessandro DE LAURENZIS
Stuart, Edd, On 16/02/2019 20:31, Alessandro DE LAURENZIS wrote: [...] While there: - yet another tabs tweak; - added IPEDOCDIR to MAKE_FLAGS (it was already in FAKE_FLAGS), otherwise the "Ipe manual" item in the help menu doesn't work. Following Stuart's suggestion not to

Re: [Update] Ipe 7.2.9

2019-02-16 Thread Alessandro DE LAURENZIS
t;Ipe manual" item in the help menu doesn't work. Updated diff attached. -- Alessandro DE LAURENZIS [mailto:jus...@atlantide.t28.net] Web: http://www.atlantide.t28.net LinkedIn: https://www.linkedin.com/in/delaurenzis/ Index: Makefile =

  1   2   >