[PATCH v2 2/2] mpc8379erdb: Convert to DM_MMC

2020-04-03 Thread sinan
From: Sinan Akman Signed-off-by: Sinan Akman --- Changes for v2: - Split patches for device tree and DM_MMC board/freescale/mpc837xerdb/mpc837xerdb.c | 9 - configs/MPC837XERDB_defconfig | 5 - 2 files changed, 12 insertions(+), 2 deletions(-) diff --git

[PATCH v2 1/2] mpc8379erdb: Add device tree

2020-04-03 Thread sinan
From: Sinan Akman Signed-off-by: Sinan Akman --- Changes for v2: - Split patches for device tree and DM_MMC arch/powerpc/dts/Makefile| 1 + arch/powerpc/dts/mpc8379erdb.dts | 74 2 files changed, 75 insertions(+) create mode 100644

[PATCH v3] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Bin Meng
Currently the driver gets ns16550 base address in the driver probe() routine, which may potentially break any ns16550 wrapper driver that does additional initialization before calling ns16550_serial_probe(). Things are complicated that we need consider ns16550 devices on both simple-bus and PCI

Re: [PATCH] mpc8379erdb: Convert to DM_MMC

2020-04-03 Thread Sinan Akman
  Hi Jehoon On 2020-04-03 7:59 p.m., Jaehoon Chung wrote: HI, On 4/4/20 6:36 AM, si...@writeme.com wrote: From: Sinan Akman I'm not sure but its subject is strange. It's not only the converting to DM_MMC. you're adding mpc8397.dtb device-tree. I think you don't add device-tree for only

Re: [PATCH] mpc8379erdb: Convert to DM_MMC

2020-04-03 Thread Jaehoon Chung
HI, On 4/4/20 6:36 AM, si...@writeme.com wrote: > From: Sinan Akman > I'm not sure but its subject is strange. It's not only the converting to DM_MMC. you're adding mpc8397.dtb device-tree. I think you don't add device-tree for only mmc. It's better to separate patches. "Add devicetree...",

Re: [PATCH] arm: stm32mp1: activate data cache in SPL and before relocation

2020-04-03 Thread Marek Vasut
On 4/3/20 10:03 AM, Patrick DELAUNAY wrote: > Hi Marek, Hi, >> From: Marek Vasut >> Sent: lundi 30 mars 2020 16:04 >> >> On 3/30/20 3:49 PM, Patrick DELAUNAY wrote: >>> Hi Marek, >> >> Hi, >> >> [...] >> > - /* Enable D-cache. I-cache is already enabled in start.S */ > + /* I-cache is

Re: [PATCH 3/3] arm: caches: manage phys_addr_t overflow in mmu_set_region_dcache_behaviour

2020-04-03 Thread Marek Vasut
On 4/3/20 10:28 AM, Patrick Delaunay wrote: > Detect and solve the overflow on phys_addr_t type for start + size in > mmu_set_region_dcache_behaviour() function. > > This issue occurs for example with ARM32, start = 0xC000 and > size = 0x4000: start + size = 0x1 and end = 0x0. >

[PATCH] mpc8379erdb: Convert to DM_MMC

2020-04-03 Thread sinan
From: Sinan Akman Signed-off-by: Sinan Akman Cc: mario@gdsys.cc --- arch/powerpc/dts/Makefile | 1 + arch/powerpc/dts/mpc8379erdb.dts | 239 ++ board/freescale/mpc837xerdb/mpc837xerdb.c | 9 +- configs/MPC837XERDB_defconfig

Re: [PATCH v2 2/2] arm: stm32mp: activate data cache on DDR in SPL

2020-04-03 Thread Marek Vasut
On 4/3/20 11:25 AM, Patrick Delaunay wrote: > Activate cache on DDR to improves the accesses to DDR used by SPL: > - CONFIG_SPL_BSS_START_ADDR > - CONFIG_SYS_SPL_MALLOC_START > > Cache is configured only when DDR is fully initialized, > to avoid speculative access and issue in get_ram_size(). >

Re: [PATCH v2 1/2] arm: stm32mp: activate data cache in SPL and before relocation

2020-04-03 Thread Marek Vasut
On 4/3/20 11:25 AM, Patrick Delaunay wrote: [...] > diff --git a/arch/arm/mach-stm32mp/cpu.c b/arch/arm/mach-stm32mp/cpu.c > index 36a9205819..c22c1a9bbc 100644 > --- a/arch/arm/mach-stm32mp/cpu.c > +++ b/arch/arm/mach-stm32mp/cpu.c > @@ -75,6 +75,12 @@ > #define PKG_SHIFT27 > #define

Re: [PATCH 2/3] arm: caches: add DCACHE_DEFAULT_OPTION

2020-04-03 Thread Marek Vasut
On 4/3/20 10:28 AM, Patrick Delaunay wrote: > Add the new flags DCACHE_DEFAULT_OPTION to define the default > option to use according the compilation flags > CONFIG_SYS_ARM_CACHE_WRITETHROUGH or CONFIG_SYS_ARM_CACHE_WRITEALLOC. Can't you unify these macros into a single Kconfig "select" statement

Re: [PATCH 1/3] arm: caches: protect dram_bank_mmu_setup access to bi_dram

2020-04-03 Thread Marek Vasut
On 4/3/20 10:28 AM, Patrick Delaunay wrote: > Add protection in dram_bank_mmu_setup() to avoid access to bd->bi_dram > before relocation. > > This patch allow to use the generic weak function dram_bank_mmu_setup > to activate the MMU and the data cache in SPL or in U-Boot before > relocation,

Re: latest u-boot branch for Marvell Armada 88F3720

2020-04-03 Thread Marek Behun
Hi Moritz, which version of EspressoBin do you have? How much RAM, is it DDR3 or DDR4? How many RAM chips are there? Marek

Pull request, u-boot-tegra/master

2020-04-03 Thread Tom Warren
Tom, Please pull u-boot-tegra/master into U-Boot/master. Thanks. All Tegra builds are OK on my system, and Stephen's test frame reports that all tests pass. This adds support for Jetson Nano, plus miscellaneous other fixes found during Nano bringup. It also adds Igor's update_uboot wrapper

[PATCH v2 u-boot-marvell 3/4] arm: mvebu: turris_mox: Setup Linux's device tree before boot

2020-04-03 Thread Marek Behún
Patch Linux's device tree according to which Mox modules are connected. Linux's device tree has all possible Mox module nodes preprogrammed, but in disabled state. If MOX B, MOX F or MOX G module is present, this code enables the PCI node. For the network modules (MOX C, MOX D and MOX E) are

[PATCH v2 u-boot-marvell 4/4] arm: mvebu: dts: turris_mox: fix USB3 regulator

2020-04-03 Thread Marek Behún
Commit e8e9715df2d4 requires the USB3 regulator node to have the enable-active-high property for the regulator to work properly. The GPIO_ACTIVE_HIGH constant is not enough anymore. Signed-off-by: Marek Behún Fixes: e8e9715df2d4 ("regulator: fixed: Modify enable-active-high...") Reviewed-by:

[PATCH v2 u-boot-marvell 2/4] arm: mvebu: dts: turris_mox: update sdhci properties

2020-04-03 Thread Marek Behún
With recent changes to the mmc subsystem (chip detect code etc) update the sdhci node of the Turris Mox device tree. Signed-off-by: Marek Behún Reviewed-by: Stefan Roese --- arch/arm/dts/armada-3720-turris-mox.dts | 18 ++ 1 file changed, 18 insertions(+) diff --git

[PATCH v2 u-boot-marvell 1/4] arm: mvebu: turris_mox: Fix early SPI communication

2020-04-03 Thread Marek Behún
The SPI clock signal changes value when the SPI configuration register is configured. This can sometimes lead to the device misinterpreting the enablement of the SPI controller as actual clock tick. This can be solved by first setting the SPI CS1 pin from GPIO to SPI mode, and only after that

Re: [PATCH] mtd: spi-nor: Enable dual and quad read for s25fl256s0

2020-04-03 Thread Jagan Teki
On Sat, Mar 28, 2020 at 12:28 AM wrote: > > From: Bacem Daassi > > The s25fl256s0 supports dual and quad read like s25fl256s1. > Enable it by adding SPI_NOR_DUAL_READ and SPI_NOR_QUAD_READ > flags to the flash_info entry. > Tested on real silicon and confirmed to be working. > > Signed-off-by:

Re: [Patch v3 1/3] mtd: spi-nor-ids: Enable SPI_NOR_OCTAL_READ flag for mt35xu*

2020-04-03 Thread Jagan Teki
On Sat, Mar 14, 2020 at 6:24 PM Kuldeep Singh wrote: > > Commit 658df8bd9464 ("mtd: spi-nor-core: Add octal mode support") > enables octal mode(1-1-8) support in spi-nor framework. > > mt35xu512aba and mt35xu02g supports SINGLE and OCTAL I/O. Hence, enable > SPI_NOR_OCTAL_READ flag for these

Re: [u-boot][PATCH] spi: spi-mem: Add SPI_MEM_NO_DATA to the spi_mem_data_dir enum

2020-04-03 Thread Jagan Teki
On Fri, Mar 20, 2020 at 3:05 PM wrote: > > From: Tudor Ambarus > > Commit: 0ebb261a0b2d ("spi: spi-mem: Add SPI_MEM_NO_DATA to the > spi_mem_data_dir enum") > in linux. > > When defining spi_mem_op templates we don't necessarily know the size > that will be passed when the template is actually

Re: [PATCH] spi: cadence-qspi: Move ref clock calculation to probe

2020-04-03 Thread Jagan Teki
On Mon, Feb 24, 2020 at 12:40 PM Pratyush Yadav wrote: > > "assigned-clock-parents" and "assigned-clock-rates" DT properties take > effect only after ofdata_to_platdata() when clk_set_defaults() is called > in device_probe(). Therefore clk get rate() would return a wrong value > in

Re: [PATCH] spi: use is_power_of_2 instead of hweight32 in spi_nor_write()

2020-04-03 Thread Jagan Teki
On Fri, Mar 13, 2020 at 5:37 AM Rasmus Villemoes wrote: > > hweight32 is a somewhat expensive way to check for power-of-2. Use the > is_power_of_2 helper, which does the standard and cheap idiom > foo&(foo-1)==0. > > add/remove: 0/0 grow/shrink: 0/1 up/down: 0/-96 (-96) > Function

Re: [PATCH v2 2/2] mtd: nand: spi: add support for Toshiba TC58CVG2S0HRAIJ

2020-04-03 Thread Jagan Teki
On Wed, Mar 4, 2020 at 12:58 AM Robert Marko wrote: > > Toshiba recently launched new revisions of their serial SLC NAND series. > TC58CVG2S0HRAIJ is a refresh of previous series with minor improvements. > Basic parameters are same so lets add support for this new revision. > > Datasheet: >

Re: [PATCH v2 1/2] mtd: spi-nand: Import Toshiba SPI-NAND support

2020-04-03 Thread Jagan Teki
On Wed, Mar 4, 2020 at 12:57 AM Robert Marko wrote: > > Linux has good support for Toshiba SPI-NAND, so lets import it. > > Signed-off-by: Robert Marko > Tested-by: Luka Kovacic > Cc: Luka Perkov > --- > Changes from v1: > Refresh to apply due to free() to rfree() rename Reviewed-by: Jagan

Re: [PATCH v2 2/4] nand: raw: zynq: Do not try to probe driver if nand flash is disabled

2020-04-03 Thread Jagan Teki
On Wed, Feb 26, 2020 at 4:09 PM Michal Simek wrote: > > There is no reason to continue when DT status property indicates that NAND > flash is disabled. But that means that NOR flash should be present that's > why try it find it out. > > Signed-off-by: Michal Simek > --- Reviewed-by: Jagan Teki

Re: [PATCH v2 1/4] nand: raw: Do not free xnand structure

2020-04-03 Thread Jagan Teki
On Wed, Feb 26, 2020 at 4:08 PM Michal Simek wrote: > > xnand structure is private data structure and it is handled by core and > probe shouldn't touch it. > > Signed-off-by: Michal Simek Reviewed-by: Jagan Teki

Re: [PATCH] spi: nxp-fspi: Add 1us delay to make controller ready for next transaction

2020-04-03 Thread Jagan Teki
On Mon, Feb 24, 2020 at 4:52 PM Kuldeep Singh wrote: > > Board gets reset when performing burst read/write operations. On the > other hand, no such behaviour is observed on small size operations. > > In Linux, readl_poll_timeout API already add delay of 1us which is > skipped in U-boot. Since,

Re: [Patch v5 1/7] spi: Transform the FSL QuadSPI driver to use the SPI MEM API

2020-04-03 Thread Jagan Teki
On Thu, Feb 20, 2020 at 10:58 PM Kuldeep Singh wrote: > > To support the SPI MEM API, instead of modifying the existing U-Boot > driver, this patch adds a port of the existing Linux driver. > This also has the advantage that porting changes and fixes from Linux will > be easier. > Porting of

Re: [PATCH 1/2] drivers: spi: Add commands for Micron SPI

2020-04-03 Thread Jagan Teki
On Sat, Nov 23, 2019 at 4:39 AM Vladimir Olovyannikov wrote: > > Add commands for dual and quad SPI transfers on Micon SPI. > > Signed-off-by: Corneliu Doban > Signed-off-by: Vladimir Olovyannikov > --- > include/spi.h | 6 ++ > 1 file changed, 6 insertions(+) > > diff --git

Re: AW: AW: latest u-boot branch for Marvell Armada 88F3720

2020-04-03 Thread Stefan Roese
Hi Moritz, On 03.04.20 16:25, Moritz Berghof wrote: Hi Stefan, Hi Marek I got your U-Boot mainline booting on the ESPRESSObin. First Step is done. Your are right Stefan, the SATA makes kind of Issues. With disabling it is booting! Okay, thanks for testing and reporting. But we should

Re: [PATCH v2 1/2] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Simon Glass
Hi Chee Hong, On Fri, 3 Apr 2020 at 01:56, Ang, Chee Hong wrote: > > > On Fri, Apr 3, 2020 at 6:56 AM Ang, Chee Hong > > wrote: > > > > > > > On Thu, Apr 2, 2020 at 7:28 PM Ang, Chee Hong > > > > > > > > wrote: > > > > > > On Thu, Apr 02, 2020 at 12:55:14PM +0800, Bin Meng wrote: > > > > > > >

Pull request: u-boot-spi/master

2020-04-03 Thread Jagan Teki
Hi Tom, Please pull this PR for the release. Summary: - fix for MMIO window size (Tudor Ambarus) thanks, Jagan. The following changes since commit e0718b3ab754860bd47677e6b4fc5b70da42c4ab: Merge tag 'dm-pull-1apr20' of git://git.denx.de/u-boot-dm (2020-04-01 14:29:21 -0400) are available

Re: [PATCH v3 29/29] acpi: Add an acpi command

2020-04-03 Thread Andy Shevchenko
On Tue, Mar 31, 2020 at 07:14:18PM +0100, Leif Lindholm wrote: > On Mon, Mar 30, 2020 at 17:13:05 -0600, Simon Glass wrote: > > +static void list_fact(struct acpi_fadt *fadt) > > Hmm, should this function be called list_facp or list_fadt? > (The wonder that is the table called FADT with the

Re: [PATCH v3 29/29] acpi: Add an acpi command

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:13:05PM -0600, Simon Glass wrote: > It is useful to dump ACPI tables in U-Boot to see what has been generated. > Add a command to handle this. > > To allow the command to find the tables, add a position into the global > data. > > Support subcommands to list and dump

Re: [PATCH v3 27/29] acpi: Put table-setup code in its own function

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:13:03PM -0600, Simon Glass wrote: > We always write three basic tables to ACPI at the start. Move this into > its own function, along with acpi_fill_header(), so we can write a test > for this code. ... > /* Re-calculate checksum */ > rsdt->header.checksum

Re: [PATCH v3 23/29] acpi: Convert part of acpi_table to use acpi_ctx

2020-04-03 Thread Andy Shevchenko
On Fri, Apr 03, 2020 at 04:24:06PM +0300, Andy Shevchenko wrote: > On Mon, Mar 30, 2020 at 05:12:59PM -0600, Simon Glass wrote: > > The current code uses an address but a pointer would result in fewer > > casts. Also it repeats the alignment code in a lot of places so this would > > be better done

Re: [PATCH v3 23/29] acpi: Convert part of acpi_table to use acpi_ctx

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:59PM -0600, Simon Glass wrote: > The current code uses an address but a pointer would result in fewer > casts. Also it repeats the alignment code in a lot of places so this would > be better done in a helper function. > > Update write_acpi_tables() to make use of the

Re: [PATCH v3 22/29] acpi: Add a method to write tables for a device

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:58PM -0600, Simon Glass wrote: > A device may want to write out ACPI tables to describe itself to Linux. > Add a method to permit this. > +acpi_method acpi_get_method(struct udevice *dev, enum method_t method) > +{ > + struct acpi_ops *aops; > + > + aops =

Re: [PATCH v3 20/29] acpi: Add support for DMAR

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:56PM -0600, Simon Glass wrote: > The DMA Remapping Reporting (DMAR) table contains information about DMA > remapping. > > Add a version simple version of this table with only the minimum fields > filled out. i.e. no entries. > +/* TODO(s...@chromium.org): Figure out

Re: [PATCH v2] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Andy Shevchenko
On Fri, Apr 03, 2020 at 05:46:19AM -0700, Bin Meng wrote: > Currently the driver gets ns16550 base address in the driver > probe() routine, which may potentially break any ns16550 wrapper > driver that does additional initialization before calling > ns16550_serial_probe(). > > Things are

Re: [PATCH v3 19/29] acpi: Add a central location for table version numbers

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:55PM -0600, Simon Glass wrote: > Each ACPI table has its own version number. Add the version numbers in a > single function so we can keep them consistent and easily see what > versions are supported. > > Start a new acpi_table file in a generic directory to house

Antwort: [PATCH v2] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Wolfgang Wallner
Hi Bin, -"Bin Meng" schrieb: - >Betreff: [PATCH v2] serial: ns16550: Fix ordering of getting base >address > >Currently the driver gets ns16550 base address in the driver >probe() routine, which may potentially break any ns16550 wrapper >driver that does additional initialization before

Re: [PATCH v3 17/29] x86: Move acpi_table header to main include/ directory

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:53PM -0600, Simon Glass wrote: > This file is potentially useful to other architectures saddled with ACPI > so move most of its contents to a common location. It's not just potentially, it's definitely useful. But this makes me think, why we don't incorporate ACPICA

Re: [PATCH v3 16/29] x86: Move acpi_s3.h to include/acpi/

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:52PM -0600, Simon Glass wrote: > This header relates to ACPI and we are about to add some more ACPI > headers. Move this one into a new directory so they are together. > FWIW, Reviewed-by: Andy Shevchenko One nit below. > Signed-off-by: Simon Glass > --- > >

Re: [PATCH v3 15/29] acpi: Add a simple sandbox test

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:51PM -0600, Simon Glass wrote: > Add a sandbox test for the basic ACPI functionality we have so far. > +U_BOOT_DRIVER(testacpi_drv) = { > + .name = "testacpi_drv", > + .of_match = testacpi_ids, > + .id = UCLASS_TEST_ACPI, > +

[PATCH v2] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Bin Meng
Currently the driver gets ns16550 base address in the driver probe() routine, which may potentially break any ns16550 wrapper driver that does additional initialization before calling ns16550_serial_probe(). Things are complicated that we need consider ns16550 devices on both simple-bus and PCI

Re: [PATCH v3 14/29] acpi: Add a binding for ACPI settings in the device tree

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:50PM -0600, Simon Glass wrote: > Devices need to report various identifiers in the ACPI tables. Rather than > hard-coding these in drivers it is typically better to put them in the > device tree. > > Add a binding file to describe this. > +elan_touchscreen:

Re: [PATCH] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Bin Meng
Hi Wolfgang, On Fri, Apr 3, 2020 at 7:47 PM Wolfgang Wallner wrote: > > Hi Bin, > > Thanks for taking care of this! > > -"Bin Meng" schrieb: - > > >An: "Simon Glass" , "Tom Rini" > >, "Andy Shevchenko" > >, "Wolfgang Wallner" > >, "Chee Hong Ang" > >, "U-Boot Mailing List" > > > >Von:

Re: [PATCH v1 1/2] clk: socfpga: Read the clock parent's register base in probe function

2020-04-03 Thread Tom Rini
On Fri, Apr 03, 2020 at 03:52:54AM +, Tan, Ley Foon wrote: > > > > -Original Message- > > From: Marek Vasut > > Sent: Friday, April 3, 2020 6:47 AM > > To: Tom Rini > > Cc: Simon Glass ; Ang, Chee Hong > > ; U-Boot Mailing List ; > > Simon Goldschmidt ; See, Chin Liang > > ; Tan,

Re: [PATCH v4 10/13] xhci: mediatek: Add support for MTK xHCI host controller

2020-04-03 Thread Marek Vasut
On 4/3/20 5:33 AM, Chunfeng Yun wrote: [...] > +static int xhci_mtk_ofdata_get(struct mtk_xhci *mtk) > +{ > + struct udevice *dev = mtk->dev; > + int ret = 0; > + > + mtk->hcd = devfdt_remap_addr_name(dev, "mac"); > + if (!mtk->hcd) { > + dev_err(dev, "Failed to get

Antwort: [PATCH] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Wolfgang Wallner
Hi Bin, Thanks for taking care of this! -"Bin Meng" schrieb: - >An: "Simon Glass" , "Tom Rini" >, "Andy Shevchenko" >, "Wolfgang Wallner" >, "Chee Hong Ang" >, "U-Boot Mailing List" > >Von: "Bin Meng" >Datum: 03.04.2020 11:58 >Betreff: [PATCH] serial: ns16550: Fix ordering of getting

Re: [PATCH v3 12/29] dm: core: Add basic ACPI support

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:48PM -0600, Simon Glass wrote: > ACPI (Advanced Configuration and Power Interface) is a standard for > specifying information about a platform. It is a little like device > tree but the bindings are part of the specification and it supports an > interpreted bytecode

Re: Antwort: [PATCH v3 11/29] x86: apl: Add Global NVS table header

2020-04-03 Thread Andy Shevchenko
On Tue, Mar 31, 2020 at 10:07:37AM +0200, Wolfgang Wallner wrote: > >+struct __packed acpi_global_nvs { > >+/* Miscellaneous */ > >+u8 pcnt; /* 0x00 - Processor Count */ > >+u8 ppcm; /* 0x01 - Max PPC State */ > >+u8 lids; /* 0x02 - LID State */ > >+u8

Re: [PATCH v3 10/29] pci: Adjust dm_pci_read_bar32() to return errors correctly

2020-04-03 Thread Andy Shevchenko
On Mon, Mar 30, 2020 at 05:12:46PM -0600, Simon Glass wrote: > At present if reading a BAR returns 0x (e.g. the device is not > present) then the value is masked and a different value is returned. > This makes it harder to detect the problem when debugging. The above ('the device is not

Re: [PATCH] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Andy Shevchenko
On Fri, Apr 03, 2020 at 06:16:51PM +0800, Bin Meng wrote: > On Fri, Apr 3, 2020 at 6:05 PM Andy Shevchenko > wrote: > > On Fri, Apr 03, 2020 at 02:58:08AM -0700, Bin Meng wrote: ... > > > Fixes: 720f9e1fdb0c9 ("serial: ns16550: Move PCI access from > > > ofdata_to_platdata() to probe()") > > >

[PATCH v2 0/2] fdtdec: support multiple phandles in memory carveout

2020-04-03 Thread Laurentiu Tudor
Content-Type: text/plain; charset="us-ascii" fdtdec_set_carveout() is limited to only one phandle. Fix this limitation by adding support for multiple phandles and also add an unit test for the function. Changes in v2: - added a unit test for the function (Simon) - added a cover letter

[PATCH v2 1/2] fdtdec: support multiple phandles in memory carveout

2020-04-03 Thread Laurentiu Tudor
fdtdec_set_carveout() is limited to only one phandle. Fix this limitation by adding support for multiple phandles. Signed-off-by: Laurentiu Tudor --- lib/fdtdec.c | 36 ++-- 1 file changed, 26 insertions(+), 10 deletions(-) diff --git a/lib/fdtdec.c

[PATCH v2 2/2] test: fdtdec: test fdtdec_set_carveout()

2020-04-03 Thread Laurentiu Tudor
Add a new test for fdtdec_set_carveout(). Signed-off-by: Laurentiu Tudor --- test/dm/Makefile | 1 + test/dm/fdtdec.c | 60 2 files changed, 61 insertions(+) create mode 100644 test/dm/fdtdec.c diff --git a/test/dm/Makefile b/test/dm/Makefile

Re: [PATCH] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Bin Meng
Hi Andy, On Fri, Apr 3, 2020 at 6:05 PM Andy Shevchenko wrote: > > On Fri, Apr 03, 2020 at 02:58:08AM -0700, Bin Meng wrote: > > Currently the driver gets ns16550 base address in the driver > > probe() routine, which may potentially break any ns16550 wrapper > > driver that does additional

Re: [PATCH v3] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Andy Shevchenko
On Fri, Apr 3, 2020 at 1:08 PM Bin Meng wrote: > On Fri, Apr 3, 2020 at 5:02 PM Wolfgang Wallner > wrote: > We can't revert as that will put PCI based ns16550 in a broken state again. > > I've sent a patch to fix it. Please have a try. Just did, thank you! -- With Best Regards, Andy

Re: [PATCH v3] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Bin Meng
Hi Wolfgang, On Fri, Apr 3, 2020 at 5:02 PM Wolfgang Wallner wrote: > > Hi Andy, > > -"Andy Shevchenko" schrieb: - > > The commit 720f9e1fdb0c ("Move PCI access from ofdata_to_platdata() to > probe()") while doing formally a right thing, actually brings a regression > to the drivers

Re: [PATCH] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Andy Shevchenko
On Fri, Apr 03, 2020 at 02:58:08AM -0700, Bin Meng wrote: > Currently the driver gets ns16550 base address in the driver > probe() routine, which may potentially break any ns16550 wrapper > driver that does additional initialization before calling > ns16550_serial_probe(). > > Things are

[PATCH] serial: ns16550: Fix ordering of getting base address

2020-04-03 Thread Bin Meng
Currently the driver gets ns16550 base address in the driver probe() routine, which may potentially break any ns16550 wrapper driver that does additional initialization before calling ns16550_serial_probe(). Things are complicated that we need consider ns16550 devices on both simple-bus and PCI

[PATCH v2] dm: core: remove the duplicated function dm_ofnode_pre_reloc

2020-04-03 Thread Patrick Delaunay
The content dm_ofnode_pre_reloc() is identical with ofnode_pre_reloc() defined in drivers/core/ofnode.c and used only three times: - drivers/core/lists.c:lists_bind_fdt() - drivers/clk/at91/pmc.c::at91_clk_sub_device_bind - drivers/clk/altera/clk-arria10.c::socfpga_a10_clk_bind So this function

[PATCH v2 0/2] arm: stm32mp1: activate data cache in SPL and before relocation

2020-04-03 Thread Patrick Delaunay
V2 after first feedbacks of the previous patch "arm: stm32mp1: activate data cache in SPL and before relocation" http://patchwork.ozlabs.org/patch/1263815/ This new serie depends on the ARM cache serie: http://patchwork.ozlabs.org/project/uboot/list/?series=168378 I move tlb in .data section

[PATCH v2 2/2] arm: stm32mp: activate data cache on DDR in SPL

2020-04-03 Thread Patrick Delaunay
Activate cache on DDR to improves the accesses to DDR used by SPL: - CONFIG_SPL_BSS_START_ADDR - CONFIG_SYS_SPL_MALLOC_START Cache is configured only when DDR is fully initialized, to avoid speculative access and issue in get_ram_size(). Data cache is deactivated at the end of SPL, to flush the

[PATCH v2 1/2] arm: stm32mp: activate data cache in SPL and before relocation

2020-04-03 Thread Patrick Delaunay
Activate the data cache in SPL and in U-Boot before relocation. In arch_cpu_init(), the function early_enable_caches() sets the early TLB, early_tlb[] located .init section, and set cacheable: - for SPL, all the SYSRAM - for U-Boot, all the DDR After relocation, the function enable_caches()

Antwort: [PATCH v3] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Wolfgang Wallner
Hi Andy, -"Andy Shevchenko" schrieb: - The commit 720f9e1fdb0c ("Move PCI access from ofdata_to_platdata() to probe()") while doing formally a right thing, actually brings a regression to the drivers that would like to pre-initialize hardware before calling ns16550_serial_probe(). In

Re: [PATCH v3] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Andy Shevchenko
On Fri, Apr 3, 2020 at 11:40 AM Andy Shevchenko wrote: > > The commit 720f9e1fdb0c ("Move PCI access from ofdata_to_platdata() to > probe()") while doing formally a right thing, actually brings a regression > to the drivers that would like to pre-initialize hardware before calling >

Re: Re: [PATCH v2 1/2] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Andy Shevchenko
On Fri, Apr 3, 2020 at 11:35 AM Bin Meng wrote: > On Fri, Apr 3, 2020 at 4:26 PM Wolfgang Wallner > wrote: > > > -"Andy Shevchenko" schrieb: - > > > On Thu, Apr 2, 2020 at 7:55 AM Bin Meng wrote: ... > > > I think I understand what happened, and Wolfgang's patch *is* a culprit. > > >

[PATCH v3] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Andy Shevchenko
The commit 720f9e1fdb0c ("Move PCI access from ofdata_to_platdata() to probe()") while doing formally a right thing, actually brings a regression to the drivers that would like to pre-initialize hardware before calling ns16550_serial_probe(). In particular, the code, which gets moved out, is

Re: Re: [PATCH v2 1/2] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Bin Meng
Hi Wolfgang, On Fri, Apr 3, 2020 at 4:26 PM Wolfgang Wallner wrote: > > > Hi Andy, Bin, > > > -"Andy Shevchenko" schrieb: - > > On Thu, Apr 2, 2020 at 7:55 AM Bin Meng wrote: > > > On Thu, Apr 2, 2020 at 1:55 AM Simon Glass wrote: > > > > On Wed, 1 Apr 2020 at 11:39, Andy Shevchenko

Re: XHCI bringup on the Raspberry Pi 4

2020-04-03 Thread Peter Robinson
> > I'm working on enabling the VIA805 XCHI controller found on the new > > Raspberry > > Pi 4. The controller sits behind a PCIe bus, which I've already > > implemented[1] > > and will submit once the XCHI issues are resolved, as it's worthless > > otherwise. > > > > The XHCI initialization

[PATCH 2/3] arm: caches: add DCACHE_DEFAULT_OPTION

2020-04-03 Thread Patrick Delaunay
Add the new flags DCACHE_DEFAULT_OPTION to define the default option to use according the compilation flags CONFIG_SYS_ARM_CACHE_WRITETHROUGH or CONFIG_SYS_ARM_CACHE_WRITEALLOC. This new compilation flag allows to simplify dram_bank_mmu_setup() and can be used as third parameter (option=dcache

[PATCH 3/3] arm: caches: manage phys_addr_t overflow in mmu_set_region_dcache_behaviour

2020-04-03 Thread Patrick Delaunay
Detect and solve the overflow on phys_addr_t type for start + size in mmu_set_region_dcache_behaviour() function. This issue occurs for example with ARM32, start = 0xC000 and size = 0x4000: start + size = 0x1 and end = 0x0. Overflow is detected when end < start. In normal case

[PATCH 1/3] arm: caches: protect dram_bank_mmu_setup access to bi_dram

2020-04-03 Thread Patrick Delaunay
Add protection in dram_bank_mmu_setup() to avoid access to bd->bi_dram before relocation. This patch allow to use the generic weak function dram_bank_mmu_setup to activate the MMU and the data cache in SPL or in U-Boot before relocation, when bd->bi_dram is not yet initialized. In this cases,

Antwort: Re: [PATCH v2 1/2] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Wolfgang Wallner
Hi Andy, Bin, > -"Andy Shevchenko" schrieb: - > On Thu, Apr 2, 2020 at 7:55 AM Bin Meng wrote: > > On Thu, Apr 2, 2020 at 1:55 AM Simon Glass wrote: > > > On Wed, 1 Apr 2020 at 11:39, Andy Shevchenko > > > wrote: > > > > On Wed, Apr 01, 2020 at 10:56:26AM -0600, Simon Glass wrote:

Re: [PATCH v2 1/2] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Andy Shevchenko
On Fri, Apr 3, 2020 at 10:56 AM Ang, Chee Hong wrote: > > On Fri, Apr 3, 2020 at 6:56 AM Ang, Chee Hong > > wrote: > > > > > > > On Thu, Apr 2, 2020 at 7:28 PM Ang, Chee Hong > > > > > > > > wrote: > > > > > > On Thu, Apr 02, 2020 at 12:55:14PM +0800, Bin Meng wrote: > > > > > > > On Thu, Apr

RE: [PATCH] arm: stm32mp1: activate data cache in SPL and before relocation

2020-04-03 Thread Patrick DELAUNAY
Hi Marek, > From: Marek Vasut > Sent: lundi 30 mars 2020 16:04 > > On 3/30/20 3:49 PM, Patrick DELAUNAY wrote: > > Hi Marek, > > Hi, > > [...] > > >>> - /* Enable D-cache. I-cache is already enabled in start.S */ > >>> + /* I-cache is already enabled in start.S */ > > > > Not needed for arm

RE: [PATCH v2 1/2] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Ang, Chee Hong
> On Fri, Apr 3, 2020 at 6:56 AM Ang, Chee Hong > wrote: > > > > > On Thu, Apr 2, 2020 at 7:28 PM Ang, Chee Hong > > > > > > wrote: > > > > > On Thu, Apr 02, 2020 at 12:55:14PM +0800, Bin Meng wrote: > > > > > > On Thu, Apr 2, 2020 at 1:55 AM Simon Glass > wrote: > > > > > > > On Wed, 1 Apr

Re: [PATCH v2 1/2] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Andy Shevchenko
On Fri, Apr 3, 2020 at 6:56 AM Ang, Chee Hong wrote: > > > On Thu, Apr 2, 2020 at 7:28 PM Ang, Chee Hong > > wrote: > > > > On Thu, Apr 02, 2020 at 12:55:14PM +0800, Bin Meng wrote: > > > > > On Thu, Apr 2, 2020 at 1:55 AM Simon Glass wrote: > > > > > > On Wed, 1 Apr 2020 at 11:39, Andy

Re: [PATCH v2 1/2] serial: ns16550: Revert "Move PCI access from ofdata_to_platdata() to probe()"

2020-04-03 Thread Andy Shevchenko
+Cc: Chee Hong On Thu, Apr 2, 2020 at 10:09 PM Andy Shevchenko wrote: > > On Thu, Apr 2, 2020 at 7:55 AM Bin Meng wrote: > > On Thu, Apr 2, 2020 at 1:55 AM Simon Glass wrote: > > > On Wed, 1 Apr 2020 at 11:39, Andy Shevchenko > > > wrote: > > > > On Wed, Apr 01, 2020 at 10:56:26AM -0600,

[PATCH] armv8: ls1046ardb: add new 16GB udimm memory support

2020-04-03 Thread andy . tang
From: Yuantian Tang Add this udimm memory support on ls1046ardb board. Signed-off-by: Yuantian Tang --- board/freescale/ls1046ardb/ddr.h | 1 + 1 file changed, 1 insertion(+) diff --git a/board/freescale/ls1046ardb/ddr.h b/board/freescale/ls1046ardb/ddr.h index 3b4d44d465..d401daa776 100644

Re: [PATCH 1/2] arm: dts: k3-am654: Increase OSPI default frequency to 50MHz

2020-04-03 Thread Pratyush Yadav
On 02/04/20 06:59PM, Vignesh Raghavendra wrote: > In 1 bit mode OSPI can work at upto 50MHz, this provides before write ^ Provides _what_ before write performance? Did you mean "provides better write performance"? Same in the

[PATCH] mtd: spi-nor-ids: Add Spansion s25fs512s flash entry

2020-04-03 Thread Kuldeep Singh
Spansion "s25fs512s" flash is incorrectly decoded as "s25fl512s" on various platforms as former is not present. Add the entry. Linux already has both the flashes present. A snippet below: { "s25fl512s", INFO6(0x010220, 0x4d0080, 256 * 1024, 256...}, { "s25fs512s", INFO6(0x010220, 0x4d0081, 256