[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-09-03 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r702038382 ## File path: hardware/chisel/src/test/scala/unittest/SyncQueue2PortMemTest.scala ## @@ -0,0 +1,209 @@ +/* + * Licensed to the Apache Software Foundation

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-09-03 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r702038234 ## File path: hardware/chisel/src/main/scala/core/TensorLoadSimple.scala ## @@ -0,0 +1,362 @@ +/* + * Licensed to the Apache Software Foundation (ASF)

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-09-03 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r702038035 ## File path: hardware/dpi/tsim_device.cc ## @@ -58,19 +60,25 @@ void VTAHostDPI(dpi8_t* req_valid, resp_valid, resp_value); } -void

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-09-03 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r702037842 ## File path: hardware/chisel/src/test/scala/unittest/SyncQueueTest.scala ## @@ -0,0 +1,266 @@ +/* + * Licensed to the Apache Software Foundation (ASF)

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-09-01 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r700459058 ## File path: hardware/chisel/src/main/scala/interface/axi/AXI.scala ## @@ -211,7 +211,7 @@ class AXIMaster(params: AXIParams) extends AXIBase(params) {

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-09-01 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r700414594 ## File path: hardware/chisel/src/main/scala/interface/axi/AXI.scala ## @@ -211,7 +211,7 @@ class AXIMaster(params: AXIParams) extends AXIBase(params) {

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-09-01 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r700416051 ## File path: hardware/chisel/src/main/scala/shell/VME.scala ## @@ -101,12 +152,13 @@ class VMEWriteMaster(implicit p: Parameters) extends Bundle {

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-09-01 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r700414594 ## File path: hardware/chisel/src/main/scala/interface/axi/AXI.scala ## @@ -211,7 +211,7 @@ class AXIMaster(params: AXIParams) extends AXIBase(params) {

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-09-01 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r700391689 ## File path: hardware/chisel/src/main/scala/interface/axi/AXI.scala ## @@ -211,7 +211,7 @@ class AXIMaster(params: AXIParams) extends AXIBase(params) {

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-08-19 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r692642018 ## File path: hardware/chisel/src/main/resources/verilog/VTAMemDPI.v ## @@ -18,89 +18,153 @@ */ module VTAMemDPI # -( parameter LEN_BITS = 8, -

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-08-19 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r692641717 ## File path: src/dpi/module.cc ## @@ -180,36 +188,84 @@ void HostDevice::WaitPopResponse(HostResponse* r) { resp_.WaitPop(r); } -void

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-08-19 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r692641676 ## File path: src/dpi/module.cc ## @@ -118,16 +120,22 @@ class HostDevice { class MemDevice { public: - void SetRequest(uint8_t opcode, uint64_t

[GitHub] [tvm-vta] aasorokiin commented on a change in pull request #32: VTA Chisel Wide memory interface.

2021-08-19 Thread GitBox
aasorokiin commented on a change in pull request #32: URL: https://github.com/apache/tvm-vta/pull/32#discussion_r692641297 ## File path: hardware/chisel/src/main/scala/util/SyncQueue.scala ## @@ -0,0 +1,517 @@ +/* + * Licensed to the Apache Software Foundation (ASF) under one