Re: [Ghdl-discuss] GHDL issue with real numbers in VCD file

2017-03-24 Thread Patrick Lehmann
, Patrick Lehmann a ?crit : Hello, what GHDL and GTKWave versions are you using? Kind regards Patrick Originalnachricht Betreff: [Ghdl-discuss] GHDL issue with real numbers in VCD file Von: ICDU An: ghdl-discuss@gna.org<mailto:ghdl-discuss@gna.org> Cc: Hi all, First my co

Re: [Ghdl-discuss] GHDL issue with real numbers in VCD file

2017-03-24 Thread Patrick Lehmann
Hello, what GHDL and GTKWave versions are you using? Kind regards Patrick Originalnachricht Betreff: [Ghdl-discuss] GHDL issue with real numbers in VCD file Von: ICDU An: ghdl-discuss@gna.org Cc: Hi all, First my congrats for this tool that i just discovered : huge ! I

Re: [Ghdl-discuss] Xcode Issue

2017-03-01 Thread Patrick Lehmann
Hello André, you can compile GHDL from source on Mac. You need a GCC with ADA compiler (GNAT) and e.g. LLVM 3.5 or 3.8. A simple configure + make will generate a fresh GHDL 0.34-dev. Setting up an automated build for Mac OS is on the list for our Travis integration. Kind regards Patrick

[Ghdl-discuss] Who uses GHDL?

2017-02-21 Thread Patrick Lehmann
for Windows (MinGW32/MinGW64). This will further allow code coverage collection on AppVeyor and Travis-CI. Has anyone experience with the Coveralls API to report user defined coverage data from Travis to Coveralls? Kind regards Patrick Lehmann

Re: [Ghdl-discuss] problem GNAT while compiling the libraries

2017-02-21 Thread Patrick Lehmann
Hello, Being stuck with gnat 4.6 is a problem of an old Debian. My Debian 9 has a gnat 6.3 :) Even Debian 8 / 8.x should use a gnat 4.9 or 5.x. Kind regards Patrick Originalnachricht Betreff: Re: [Ghdl-discuss] problem GNAT while compiling the libraries Von: Roberto Hexsel

[Ghdl-discuss] 200 GitHub stars

2017-01-27 Thread Patrick Lehmann
Hello, I just saw GHDL reached 200 GitHub stars and 45 clones. The download counter for v0.33 is at 16k downloads!! A detailed statistic can be found on the v0.33 release page: https://github.com/tgingold/ghdl/releases/tag/v0.33 Most popular downloads: 1. GHDL for Windows: 5k

[Ghdl-discuss] GHDL is now available on Gitter.im

2016-11-09 Thread Patrick Lehmann
Hello, I just want to announce that Tristan created a Gitter channel for GHDL. URL: https://gitter.im/ghdl1/Lobby Everybody can read messages on Gitter, but only registered user can write. The registration requires usually a GitHub or a Twitter account. Kind regards Patrick

Re: [Ghdl-discuss] Build error

2016-10-28 Thread Patrick Lehmann
Ghdl-discuss [mailto:ghdl-discuss-boun...@gna.org] On Behalf Of Patrick Lehmann Sent: Thursday, October 27, 2016 6:04 AM To: ghdl-discuss@gna.org Subject: Re: [Ghdl-discuss] Build error I have the same error here with gcc 5.4 and 6.2.0 on Debian testing. GCC is broken Workaround: export GCC

Re: [Ghdl-discuss] Total Estimated Cost to Develop GHDL

2016-10-27 Thread Patrick Lehmann
Hmmm the tool didn't count PowerShell :(.---Wissenschaftliche HilfskraftTechnische Universität DresdenFakultät InformatikInstitut für Technische InformatikLehrstuhl VLSI-Entwurfssysteme, Diagnostik und Architektur 01062 Dresden, GERMANYTel.:  +49 351

Re: [Ghdl-discuss] Problems with XilinxCoreLib

2016-10-27 Thread Patrick Lehmann
Hello Carlos, I pushed a commit to add ‘-CoreLib’ and ‘--corelib’ to the scripts. The pull request is filed here: https://github.com/tgingold/ghdl/pull/178?ts=2 I can’t see any failing files. In Windows or Linux. Kind regards Patrick ---

Re: [Ghdl-discuss] Synthesis for FPGAs / XML dump

2016-10-15 Thread Patrick Lehmann
ree.fr> Datum:15.10.2016 11:49 (GMT+01:00) An: ghdl-discuss@gna.org Betreff: Re: [Ghdl-discuss] Synthesis for FPGAs / XML dump On 15/10/16 11:07, Patrick Lehmann wrote: > Hello Tristan, > > I have seen many bad structured XML formats in my life, but GHDL's > output looks VERY GOOD!

Re: [Ghdl-discuss] Synthesis for FPGAs / XML dump

2016-10-15 Thread Patrick Lehmann
Hello Tristan,I have seen many bad structured XML formats in my life, but GHDL's output looks VERY GOOD! Especially that you use XML attributes and ids.Two notes:1)You are almost using long readable names except for el and we. Can give the long name?2)The output needs a root element like

Re: [Ghdl-discuss] Re: Synthesis for FPGAs

2016-10-05 Thread Patrick Lehmann
Hello,I think, if an interface is required, it should export a DOM not the AST. ASTs are parser specific and hard to read. I don't know the AST of GHDL, but I had to use other ASTs which needed a lot of work to read/parse them.The lately announced pyVHDLParser has a VHDL DOM (Document Object

Re: [Ghdl-discuss] include path for librarys

2016-09-07 Thread Patrick Lehmann
Hello, the synopsys IEEE flavor for VHDL-2008 is new. It was introduced a while ago for the current 0.34dev “branch”. When was your last GHDL 0.34dev build? Normally, it should be fixed when you recompile GHDL from sources. Please run ./configure again, because we changed the configure

Re: [Ghdl-discuss] cmd.exe based build scripts for Windows

2016-08-25 Thread Patrick Lehmann
...@gna.org] On Behalf Of Tristan Gingold Sent: Thursday, August 25, 2016 3:38 AM To: ghdl-discuss@gna.org Subject: Re: [Ghdl-discuss] cmd.exe based build scripts for Windows On 08/08/16 17:24, Patrick Lehmann wrote: > Hello, > > > > does anybody still use the old cmd.exe (Command Line /

Re: [Ghdl-discuss] GHDL Recursion use of component problem 2 (CLK TREE)

2016-08-22 Thread Patrick Lehmann
Hello Milan, can you try your example with GHDL 0.34dev build from sources? There have been many bugfixes since 0.33. Regards Patrick Lehmann --- Wissenschaftliche Hilfskraft Technische Universität Dresden Fakultät Informatik Institut für

Re: [Ghdl-discuss] include path for librarys

2016-08-20 Thread Patrick Lehmann
Hello Robin, std_logic_textio is a Synopsys package. You need to use --std=08 to enable VHDL-2008, which includes this package or select another IEEE flavor with --ieee=synopsys. The latter one add vendor specific packages with are not Provided by IEEE to the ieee library. Ieee ptfalls

[Ghdl-discuss] How to pass a package as generic parameter to another package

2016-08-15 Thread Patrick Lehmann
Hello, how can I pass a package as generic parameter to another package in GHDL? Currently, GHDL doesn’t support type generics, so I would like to use a package, to carry a type into another package. How can I do this? package P1 is subtype MyType is integer; end package;

Re: [Ghdl-discuss] Building llvm-backend version of ghdl under Ubuntu 16.04 LTS --> missing lib/ghdl/v93/std/std_standard.o

2016-08-07 Thread Patrick Lehmann
Hello Walter, there have been some changes to the configure script. When was your last ./configure run? Regards Patrick --- Wissenschaftliche Hilfskraft Technische Universität Dresden Fakultät Informatik Institut für Technische Informatik Lehrstuhl

Re: [Ghdl-discuss] Better way for integer handling in VHDL ?

2016-07-28 Thread Patrick Lehmann
Hello Jonas, here is a short way to convert a FSM encoding to a binary representation for an FPGA internal logic analyzer: function dbg_EncodeState(st : T_STATE) return std_logic_vector is begin return std_logic_vector(unsigned(T_STATE'pos(st), log2ceilnz(T_STATE'pos(T_STATE'high) + 1)));

Re: [Ghdl-discuss] Better way for integer handling in VHDL ?

2016-07-28 Thread Patrick Lehmann
Hello Jonas, I was just saying that VHDL has capabilities to create bigger integer numbers. That doesn't imply that I like or teach that one should use INTEGERs for hardware design. I'm using INTEGERs only for constants and generic parameters. Even if you could specify a new integer with the

Re: [Ghdl-discuss] Better way for integer handling in VHDL ?

2016-07-27 Thread Patrick Lehmann
Hello, you can define own integers in VHDL: type myint is range -12 to 32234324; The languages doesn't forbid large integers, but must tools are restricted in handling such large literals/signals/variables. You could create your own "64 bit" integer: constant BITS : positive := 64; type long

Re: [Ghdl-discuss] Enabling Waveform Capture after some time

2016-07-20 Thread Patrick Lehmann
Hello, maybe this could be a long-term feature for the new waveform select files, which are currently under development. So a user can specify time ranges in addition to signal names. Something like: # don't capture any signal until 1 ms $capture-from = 1 ms # stop simulation at 100 ms if not