CVS commit: src/tests/lib/libcurses

2019-05-26 Thread Brett Lymn
Module Name:src Committed By: blymn Date: Sun May 26 07:28:15 UTC 2019 Modified Files: src/tests/lib/libcurses/check_files: copywin10.chk copywin11.chk copywin12.chk copywin13.chk copywin14.chk copywin2.chk copywin5.chk copywin6.chk copywin7.chk

CVS commit: src/tests/lib/libcurses

2019-05-26 Thread Brett Lymn
Module Name:src Committed By: blymn Date: Sun May 26 07:28:15 UTC 2019 Modified Files: src/tests/lib/libcurses/check_files: copywin10.chk copywin11.chk copywin12.chk copywin13.chk copywin14.chk copywin2.chk copywin5.chk copywin6.chk copywin7.chk

CVS commit: src/tests/lib/libc/sys

2019-05-24 Thread Kamil Rytarowski
Module Name:src Committed By: kamil Date: Sat May 25 03:25:08 UTC 2019 Modified Files: src/tests/lib/libc/sys: t_ptrace_wait.c Log Message: Add new user_va0_disable* tests in t_ptrace_wait* Add tests: - user_va0_disable_pt_continue - user_va0_disable_pt_syscall -

CVS commit: src/tests/lib/libc/sys

2019-05-24 Thread Kamil Rytarowski
Module Name:src Committed By: kamil Date: Sat May 25 03:25:08 UTC 2019 Modified Files: src/tests/lib/libc/sys: t_ptrace_wait.c Log Message: Add new user_va0_disable* tests in t_ptrace_wait* Add tests: - user_va0_disable_pt_continue - user_va0_disable_pt_syscall -

CVS commit: src/tests/lib/libc/sys

2019-05-24 Thread Kamil Rytarowski
Module Name:src Committed By: kamil Date: Sat May 25 03:22:53 UTC 2019 Modified Files: src/tests/lib/libc/sys: t_ptrace_wait.h Log Message: Add get_user_va0_disable() in t_ptrace_wait.h Add a utility function to check vm.user_va0_disable. To generate a diff of this

CVS commit: src/tests/lib/libc/sys

2019-05-24 Thread Kamil Rytarowski
Module Name:src Committed By: kamil Date: Sat May 25 03:22:53 UTC 2019 Modified Files: src/tests/lib/libc/sys: t_ptrace_wait.h Log Message: Add get_user_va0_disable() in t_ptrace_wait.h Add a utility function to check vm.user_va0_disable. To generate a diff of this

CVS commit: src/tests/lib/libcurses

2019-05-19 Thread Brett Lymn
Module Name:src Committed By: blymn Date: Sun May 19 22:34:23 UTC 2019 Modified Files: src/tests/lib/libcurses: debug_test Log Message: Add option to specify an alternate library location to allow testing a development library version without installing it. To generate

CVS commit: src/tests/lib/libcurses

2019-05-19 Thread Brett Lymn
Module Name:src Committed By: blymn Date: Sun May 19 22:34:23 UTC 2019 Modified Files: src/tests/lib/libcurses: debug_test Log Message: Add option to specify an alternate library location to allow testing a development library version without installing it. To generate

CVS commit: src/tests/lib/libcurses/check_files

2019-05-11 Thread Brett Lymn
Module Name:src Committed By: blymn Date: Sun May 12 02:37:24 UTC 2019 Modified Files: src/tests/lib/libcurses/check_files: wprintw_refresh.chk Log Message: Provide correct validation after curses change. To generate a diff of this commit: cvs rdiff -u -r1.1 -r1.2 \

CVS commit: src/tests/lib/libcurses/check_files

2019-05-11 Thread Brett Lymn
Module Name:src Committed By: blymn Date: Sun May 12 02:37:24 UTC 2019 Modified Files: src/tests/lib/libcurses/check_files: wprintw_refresh.chk Log Message: Provide correct validation after curses change. To generate a diff of this commit: cvs rdiff -u -r1.1 -r1.2 \

Re: CVS commit: src/tests/lib/libc/atomic

2019-02-27 Thread Christos Zoulas
On Feb 28, 1:05am, is...@pastel-flower.jp (Tetsuya Isaki) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/atomic | At Wed, 27 Feb 2019 10:32:11 -0500, | Christos Zoulas wrote: | > Module Name:src | > Committed By: christos | > Date: Wed Feb 27 15:32:11

Re: CVS commit: src/tests/lib/libc/atomic

2019-02-27 Thread Tetsuya Isaki
At Wed, 27 Feb 2019 10:32:11 -0500, Christos Zoulas wrote: > Module Name: src > Committed By: christos > Date: Wed Feb 27 15:32:11 UTC 2019 > > Modified Files: > src/tests/lib/libc/atomic: t___sync_and.c > > Log Message: > Make the _and_and_ have-nots compile. Sorry for build

Re: CVS commit: src/tests/lib/libc/misc

2019-02-04 Thread Kamil Rytarowski
On 04.02.2019 09:50, Robert Elz wrote: > Date:Mon, 4 Feb 2019 05:02:46 +0100 > From:Kamil Rytarowski > Message-ID: <2eadaf71-d7d7-c285-bdec-78ddcd3a5...@gmx.com> > > > | If GCC is fine with it, we could try raise(!!(a * b) ? SIGSEGV : SIGBUS);= > > That's a kind

Re: CVS commit: src/tests/lib/libc/misc

2019-02-04 Thread Robert Elz
Date:Mon, 4 Feb 2019 05:02:46 +0100 From:Kamil Rytarowski Message-ID: <2eadaf71-d7d7-c285-bdec-78ddcd3a5...@gmx.com> | If GCC is fine with it, we could try raise(!!(a * b) ? SIGSEGV : SIGBUS);= That's a kind of odd way of saying (a * b) != 0 ? ... kre

Re: CVS commit: src/tests/lib/libc/misc

2019-02-03 Thread Kamil Rytarowski
On 04.02.2019 04:10, matthew green wrote: > Module Name: src > Committed By: mrg > Date: Mon Feb 4 03:10:33 UTC 2019 > > Modified Files: > src/tests/lib/libc/misc: Makefile t_ubsan.c > > Log Message: > - revert previous to t_ubsan.c, it is desired behaviour. from kamil. > - use

Re: CVS commit: src/tests/lib/libc/sys

2018-05-25 Thread Jason Thorpe
> On May 24, 2018, at 10:45 PM, Kamil Rytarowski wrote: > > Fixed! Confirmed! Thanks! -- thorpej

Re: CVS commit: src/tests/lib/libc/sys

2018-05-23 Thread Jason Thorpe
This change seems to have broken building on 32-bit platforms (certainly at least for 32-bit ARM): # compile sys/t_ptrace_wait.o /nbsd/tools/bin/armv6--netbsdelf-eabihf-gcc -O2 -std=gnu99-Wall -Wstrict-prototypes -Wmissing-prototypes -Wpointer-arith -Wno-sign-compare -Wsystem-headers

Re: CVS commit: src/tests/lib/libc/sys

2018-02-27 Thread Valery Ushakov
On Tue, Feb 27, 2018 at 11:15:53 +, Kamil Rytarowski wrote: > Module Name: src > Committed By: kamil > Date: Tue Feb 27 11:15:53 UTC 2018 > > Modified Files: > src/tests/lib/libc/sys: t_ucontext.c > > Log Message: > Make the t_ucontext.c test more portable > > Cast

Re: CVS commit: src/tests/lib/libc/locale

2017-12-01 Thread Robert Elz
Date:Sat, 2 Dec 2017 00:09:29 +0100 From:Joerg Sonnenberger Message-ID: <20171201230929.ga13...@britannica.bec.de> | This is *not* true. With C11 the standard is very explicit that return | must discard excessive precision. Even before, it was

Re: CVS commit: src/tests/lib/libc/locale

2017-12-01 Thread Joerg Sonnenberger
On Fri, Dec 01, 2017 at 01:08:35AM +, Robert Elz wrote: > Module Name: src > Committed By: kre > Date: Fri Dec 1 01:08:35 UTC 2017 > > Modified Files: > src/tests/lib/libc/locale: t_sprintf.c > > Log Message: > Since the C standard allows for intermediate floating results to

Re: CVS commit: src/tests/lib/libc/locale

2017-11-30 Thread Robert Elz
Date:Thu, 30 Nov 2017 23:09:07 +0100 From:Manuel Bouyer Message-ID: <20171130220907.ga2...@antioche.eu.org> | Shouldn't it be made Xfail on i386 in this case ? I don't think so, especially not now the problem is understood - it is trivial

Re: CVS commit: src/tests/lib/libc/locale

2017-11-30 Thread Manuel Bouyer
On Thu, Nov 30, 2017 at 06:16:10AM +0700, Robert Elz wrote: > Date:Wed, 29 Nov 2017 16:51:56 + > From:Taylor R Campbell > > Message-ID: <20171129165637.6fa4960...@jupiter.mumble.net> > > | This is starting to smell

Re: CVS commit: src/tests/lib/libc/locale

2017-11-29 Thread Robert Elz
Date:Wed, 29 Nov 2017 16:51:56 + From:Taylor R Campbell Message-ID: <20171129165637.6fa4960...@jupiter.mumble.net> | This is starting to smell like a compiler bug in fp correctness...but | I'm out of time to

Re: CVS commit: src/tests/lib/libc/locale

2017-11-29 Thread Taylor R Campbell
> Date: Wed, 29 Nov 2017 09:14:26 +0100 > From: Martin Husemann > > (gdb) info float > R7: Valid 0xc00cc0e6b7318fc50481 -12345.678979 > =>R6: Valid 0xc00cc0e6b7318fc50800 -12345.678979 > R5: Empty 0xc00cc0e6b7318fc50800 > [...] > Control

Re: CVS commit: src/tests/lib/libc/locale

2017-11-29 Thread Martin Husemann
For the gcc 5.5 version: 30 if (!(d == t->double_value)) { (gdb) x/16i $pc => 0x804887c : fldl 0x4(%ebx) 0x804887f : fucomp %st(2) 0x8048881 : fnstsw %ax 0x8048883 : sahf 0x8048884 :

Re: CVS commit: src/tests/lib/libc/locale

2017-11-29 Thread Martin Husemann
On Wed, Nov 29, 2017 at 09:03:50AM +0100, Martin Husemann wrote: > On Wed, Nov 29, 2017 at 06:12:02AM +, Taylor R Campbell wrote: > > (My guess is that there's something screwy with i387 long doubles, but > > I don't have a good guess about where that screwiness might be > > happening without

Re: CVS commit: src/tests/lib/libc/locale

2017-11-28 Thread Robert Elz
Date:Wed, 29 Nov 2017 06:12:02 + From:Taylor R Campbell Message-ID: <20171129061642.e8dcb60...@jupiter.mumble.net> | That's pretty interesting! That is what I thought, it was certainly not what I expected. | Can

Re: CVS commit: src/tests/lib/libc/locale

2017-11-28 Thread Taylor R Campbell
> Date: Wed, 29 Nov 2017 11:41:58 +0700 > From: Robert Elz > > OK, got my i386 test setup (a Xen DomU) built & running, the updated > test failed (as it always failed on i386 before I added the epsilon > test, which is #if 0'd out now) the results are ... > > strto:

Re: CVS commit: src/tests/lib/libc/locale

2017-11-28 Thread Taylor R Campbell
> Date: Wed, 29 Nov 2017 05:37:56 +0700 > From: Robert Elz > > I think that conclusion had been reached already (not by me...) but that's > "Under IEEE 754-2008" right? What about architectures that don't use IEEE > floats? This test should not be assuming that - we still

Re: CVS commit: src/tests/lib/libc/locale

2017-11-28 Thread Robert Elz
Date:Tue, 28 Nov 2017 15:34:19 +0100 From:Joerg Sonnenberger Message-ID: <20171128143418.ga8...@britannica.bec.de> | Hidding things until then doesn't actually fix something. No, it doesn't, but when I made the change I wasn't hiding anything, just

Re: CVS commit: src/tests/lib/libc/locale

2017-11-28 Thread Taylor R Campbell
> Date: Tue, 28 Nov 2017 05:32:45 +0700 > From: Robert Elz > > Way back when I first learned floating point programming (something I > have done astonishingly little of in the intervening decades) I was > told it was *always* wrong to compare floats for exact equality - but >

Re: CVS commit: src/tests/lib/libc/locale

2017-11-28 Thread Joerg Sonnenberger
On Tue, Nov 28, 2017 at 05:32:45AM +0700, Robert Elz wrote: > Date:Mon, 27 Nov 2017 18:44:38 +0100 > From:Joerg Sonnenberger > Message-ID: <20171127174438.ga20...@britannica.bec.de> > > | Parsing a string constant is a well-defined > | operation

Re: CVS commit: src/tests/lib/libc/locale

2017-11-27 Thread Robert Elz
Date:Mon, 27 Nov 2017 18:44:38 +0100 From:Joerg Sonnenberger Message-ID: <20171127174438.ga20...@britannica.bec.de> | Parsing a string constant is a well-defined | operation with precise result. A cross-compiler that doesn't do that | correctly is

Re: CVS commit: src/tests/lib/libc/locale

2017-11-27 Thread Joerg Sonnenberger
On Fri, Nov 24, 2017 at 09:30:43PM +, Robert Elz wrote: > Module Name: src > Committed By: kre > Date: Fri Nov 24 21:30:43 UTC 2017 > > Modified Files: > src/tests/lib/libc/locale: t_sprintf.c > > Log Message: > When comparing doubles (any floating point values) which have

Re: CVS commit: src/tests/lib/libm

2017-08-21 Thread Joerg Sonnenberger
On Mon, Aug 21, 2017 at 10:02:44AM +, Christos Zoulas wrote: > In article <20170820110132.ga5...@britannica.bec.de>, > Joerg Sonnenberger wrote: > >On Sun, Aug 20, 2017 at 04:25:47AM -0400, Christos Zoulas wrote: > >> Module Name: src > >> Committed By: christos > >>

Re: CVS commit: src/tests/lib/libm

2017-08-20 Thread Joerg Sonnenberger
On Sun, Aug 20, 2017 at 04:25:47AM -0400, Christos Zoulas wrote: > Module Name: src > Committed By: christos > Date: Sun Aug 20 08:25:47 UTC 2017 > > Modified Files: > src/tests/lib/libm: t_fe_round.c > > Log Message: > fix build (missing nexttoward on mips64 and aarch64) Please

Re: CVS commit: src/tests/lib/libm

2017-07-26 Thread Taylor R Campbell
> Date: Wed, 26 Jul 2017 22:02:09 +0300 > From: Valery Ushakov > > Also, portmasters could have been asked in advance, at least pro > forma. If I'm given a heads up and a summary of what needs to be > done, I can usually schedule it within a few days. When I see a > commit

Re: CVS commit: src/tests/lib/libm

2017-07-26 Thread Joerg Sonnenberger
On Wed, Jul 26, 2017 at 06:28:16AM +0700, Robert Elz wrote: > Date:Tue, 25 Jul 2017 22:43:18 + > From:co...@sdf.org > Message-ID: <20170725224318.ga3...@sdf.org> > > | It's a minor inconvenience to fix a critical bug. > > Breaking builds is not a minor

Re: CVS commit: src/tests/lib/libm

2017-07-26 Thread Valery Ushakov
On Wed, Jul 26, 2017 at 03:24:16 +, Taylor R Campbell wrote: > > Date: Wed, 26 Jul 2017 00:31:48 +0300 > > From: Valery Ushakov > > > > On Tue, Jul 25, 2017 at 21:29:33 +, co...@sdf.org wrote: > > > On Tue, Jul 25, 2017 at 09:26:56PM +, Valeriy E. Ushakov wrote:

Re: CVS commit: src/tests/lib/libm

2017-07-25 Thread Robert Elz
Date:Wed, 26 Jul 2017 00:17:49 + From:co...@sdf.org Message-ID: <20170726001748.gd3...@sdf.org> | And it adds an actual test for functionality, to be sure we weren't | wrong in adding the function for that arch. That's useful, but you can get that if you

Re: CVS commit: src/tests/lib/libm

2017-07-25 Thread coypu
There is no other way to find and fix these problems. nobody runs sh3 tests, and non-x86 ports have so many failures that it's going to be drowned in the noise. And it adds an actual test for functionality, to be sure we weren't wrong in adding the function for that arch. This is causing

Re: CVS commit: src/tests/lib/libm

2017-07-25 Thread coypu
On Wed, Jul 26, 2017 at 05:32:42AM +0700, Robert Elz wrote: > Date:Wed, 26 Jul 2017 00:31:48 +0300 > From:Valery Ushakov > Message-ID: <20170725213148.ga16...@pony.stderr.spb.ru> > > | You can do that in your tree, not in the public repo. > >

Re: CVS commit: src/tests/lib/libm

2017-07-25 Thread coypu
On Tue, Jul 25, 2017 at 09:26:56PM +, Valeriy E. Ushakov wrote: > Module Name: src > Committed By: uwe > Date: Tue Jul 25 21:26:56 UTC 2017 > > Modified Files: > src/tests/lib/libm: t_fe_round.c > > Log Message: > Revert previous as it breaks at least sparc and hpcsh builds. >

Re: CVS commit: src/tests/lib/libc/sys

2017-05-23 Thread Alexander Mihalicyn
help On Tue, May 23, 2017 at 7:01 PM, Christos Zoulas wrote: > Module Name:src > Committed By: christos > Date: Tue May 23 16:01:46 UTC 2017 > > Modified Files: > src/tests/lib/libc/sys: t_mincore.c > > Log Message: > Add the error in syscall

Re: CVS commit: src/tests/lib/libc/sys

2017-03-24 Thread Paul Goyette
Module Name:src Committed By: martin Date: Fri Mar 24 08:18:27 UTC 2017 Modified Files: src/tests/lib/libc/sys: t_mprotect.c Log Message: Do not toggle global security.pax.mprotect state in an attempt to activate it for the current process. It does not work and tests

Re: CVS commit: src/tests/lib/libc/sys

2017-01-13 Thread Paul Goyette
Should address PR bin/51869 On Sat, 14 Jan 2017, Paul Goyette wrote: Module Name:src Committed By: pgoyette Date: Sat Jan 14 03:59:58 UTC 2017 Modified Files: src/tests/lib/libc/sys: Makefile Log Message: Set FILESBUILD=yes to actually run the creation script for the

Re: CVS commit: src/tests/lib/libm

2016-12-19 Thread coypu
On Mon, Dec 19, 2016 at 05:44:56PM +, co...@sdf.org wrote: > I suppose the name is dumb, but I only thought about it > after committing. sorry. > It should crash with SIGFPE on alpha, which is why I thought > of exceptions when naming it! ... except it shouldn't do that either now. I'm gonna

Re: CVS commit: src/tests/lib/libm

2016-12-19 Thread coypu
On Mon, Dec 19, 2016 at 05:38:24PM +, Maya Rashish wrote: > Module Name: src > Committed By: maya > Date: Mon Dec 19 17:38:24 UTC 2016 > > Modified Files: > src/tests/lib/libm: Makefile > Added Files: > src/tests/lib/libm: t_fe_round.c > > Log Message: > add test for

Re: CVS commit: src/tests/lib/librefuse

2016-11-15 Thread Christos Zoulas
In article <20161115100522.627f9f...@cvs.netbsd.org>, Martin Husemann wrote: >-=-=-=-=-=- > >Module Name: src >Committed By: martin >Date: Tue Nov 15 10:05:22 UTC 2016 > >Modified Files: > src/tests/lib/librefuse: t_refuse_opt.c > >Log Message: >Evil

Re: CVS commit: src/tests/lib/libpthread

2016-10-31 Thread Christos Zoulas
In article <0c9230c6-d206-5332-d89c-e0b93d3de...@gmx.com>, Kamil Rytarowski wrote: >-=-=-=-=-=- >-=-=-=-=-=- > > > >On 31.10.2016 21:23, Taylor R Campbell wrote: >>Date: Mon, 31 Oct 2016 18:29:56 +0100 >>From: Kamil Rytarowski >> >>

Re: CVS commit: src/tests/lib/libpthread

2016-10-31 Thread Kamil Rytarowski
On 31.10.2016 21:23, Taylor R Campbell wrote: >Date: Mon, 31 Oct 2016 18:29:56 +0100 >From: Kamil Rytarowski > >pthread_mutex_timedlock(3) is broken and it does not work at all for me, >not as a standard mutex (like pthread_mutex_lock(3), sufficiently >lengthy

Re: CVS commit: src/tests/lib/libpthread

2016-10-31 Thread Taylor R Campbell
Date: Mon, 31 Oct 2016 18:29:56 +0100 From: Kamil Rytarowski pthread_mutex_timedlock(3) is broken and it does not work at all for me, not as a standard mutex (like pthread_mutex_lock(3), sufficiently lengthy timeout makes it a good approximation) neither as a timed

Re: CVS commit: src/tests/lib/libpthread

2016-10-31 Thread Kamil Rytarowski
On 31.10.2016 17:21, Christos Zoulas wrote: > Module Name: src > Committed By: christos > Date: Mon Oct 31 16:21:23 UTC 2016 > > Modified Files: > src/tests/lib/libpthread: t_mutex.c t_timedmutex.c > > Log Message: > Merge and fix the timed mutex tests to use absolute time. >

Re: CVS commit: src/tests/lib/libc

2016-08-26 Thread D'Arcy J.M. Cain
On Fri, 26 Aug 2016 15:46:55 +1000 matthew green wrote: > > Modified Files: > > src/tests/lib/libc/net/getaddrinfo: Makefile > > src/tests/lib/libc/regex: Makefile > > > > Log Message: > > Replace MKMAN with NOMAN as suggested by christos@. Allows > > userland to

re: CVS commit: src/tests/lib/libc

2016-08-25 Thread matthew green
"D'Arcy J.M. Cain" writes: > Module Name: src > Committed By: darcy > Date: Fri Aug 26 01:31:43 UTC 2016 > > Modified Files: > src/tests/lib/libc/net/getaddrinfo: Makefile > src/tests/lib/libc/regex: Makefile > > Log Message: > Replace MKMAN with NOMAN as suggested by

Re: CVS commit: src/tests/lib/libusbhid

2016-08-20 Thread Jonathan A. Kollasch
On Sat, Aug 20, 2016 at 09:36:14PM +0700, Robert Elz wrote: > Date:Wed, 17 Aug 2016 12:10:43 + > From:"Jonathan A. Kollasch" > Message-ID: <20160817121043.14eeaf...@cvs.netbsd.org> > > | Module Name: src > | Committed By:

Re: CVS commit: src/tests/lib/libusbhid

2016-08-20 Thread Robert Elz
Date:Wed, 17 Aug 2016 12:10:43 + From:"Jonathan A. Kollasch" Message-ID: <20160817121043.14eeaf...@cvs.netbsd.org> | Module Name:src | Committed By: jakllsch | Date: Wed Aug 17 12:10:43 UTC 2016 | |

Re: CVS commit: src/tests/lib/libc/sys

2016-08-09 Thread Robert Elz
Date:Tue, 9 Aug 2016 12:02:44 + From:"Robert Elz" Message-ID: <20160809120244.9f9d0f...@cvs.netbsd.org> Ignore this part ... | Note that NetBSD mlock(2) talks about EINVAL for cases where the length | parameter is negative ... but that is a

Re: CVS commit: src/tests/lib/libc/tls

2016-07-18 Thread Christos Zoulas
In article <20160718140933.gb10...@britannica.bec.de>, Joerg Sonnenberger wrote: >On Mon, Jul 18, 2016 at 08:17:39AM -0400, Christos Zoulas wrote: >> Module Name: src >> Committed By:christos >> Date:Mon Jul 18 12:17:39 UTC 2016 >> >> Modified Files: >>

Re: CVS commit: src/tests/lib/libc/tls

2016-07-18 Thread Joerg Sonnenberger
On Mon, Jul 18, 2016 at 08:17:39AM -0400, Christos Zoulas wrote: > Module Name: src > Committed By: christos > Date: Mon Jul 18 12:17:39 UTC 2016 > > Modified Files: > src/tests/lib/libc/tls: Makefile > > Log Message: > Not designed for PIE Huh? The only test case that doesn't

Re: CVS commit: src/tests/lib/libutil

2015-12-31 Thread Greg Troxel
"David A. Holland" writes: > Module Name: src > Committed By: dholland > Date: Thu Dec 31 10:18:00 UTC 2015 > > Modified Files: > src/tests/lib/libutil: t_parsedate.c > > Log Message: > When evaluated on a Sunday, "next Sunday" means 7 days in the future, >

Re: CVS commit: src/tests/lib/libutil

2015-12-31 Thread David Holland
On Thu, Dec 31, 2015 at 04:14:52PM -0500, Greg Troxel wrote: > > When evaluated on a Sunday, "next Sunday" means 7 days in the future, > > not 14. When evaluated on a Monday, it apparently means 13 days in the > > future. There's not exactly a spec for parsedate.y, so conform to the > >

Re: CVS commit: src/tests/lib/libm

2015-01-29 Thread Tetsuya Isaki
At Sat, 24 Jan 2015 10:35:01 +, David Laight wrote: Log Message: In the exp2_values test case, provide separate expected return values for the float case, reflecting the actual exp2f() argument value after rounding to float precision. Fixes PR lib/49256. Thanks to Makoto

Re: CVS commit: src/tests/lib/libm

2014-10-15 Thread David Laight
On Tue, Oct 07, 2014 at 04:53:44PM +, Andreas Gustafsson wrote: Module Name: src Committed By: gson Date: Tue Oct 7 16:53:44 UTC 2014 Modified Files: src/tests/lib/libm: t_exp.c Log Message: In the exp2_values test case, provide separate expected return values for

Re: CVS commit: src/tests/lib/libc/gen

2014-10-13 Thread Justin Cormack
On Sun, Oct 12, 2014 at 11:33 PM, Christos Zoulas chris...@netbsd.org wrote: Module Name:src Committed By: christos Date: Sun Oct 12 22:33:41 UTC 2014 Modified Files: src/tests/lib/libc/gen: t_fnmatch.c Log Message: You need double the number of backslashes in a

Re: CVS commit: src/tests/lib/libc/gen

2014-10-13 Thread Christos Zoulas
On Oct 13, 11:29am, jus...@specialbusservice.com (Justin Cormack) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/gen | Not sure about this. See | http://pubs.opengroup.org/onlinepubs/009695399/basedefs/xbd_chap09.html#tag_09_03_05 | | The special characters '.', '*', '[', and '\' (period

Re: CVS commit: src/tests/lib/libc/gen

2014-10-13 Thread Christos Zoulas
On Oct 13, 2:23pm, jus...@specialbusservice.com (Justin Cormack) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/gen | You can have a ] by having it as the first character that is made explicit. | And if the / is not special then that is no problem either. Yes, '/' is the problem. | Let

Re: CVS commit: src/tests/lib/libc/gen

2014-10-13 Thread Justin Cormack
On Mon, Oct 13, 2014 at 2:26 PM, Christos Zoulas chris...@zoulas.com wrote: On Oct 13, 2:23pm, jus...@specialbusservice.com (Justin Cormack) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/gen | You can have a ] by having it as the first character that is made explicit

Re: CVS commit: src/tests/lib/libc/gen

2014-10-13 Thread Christos Zoulas
On Oct 14, 12:31am, jus...@specialbusservice.com (Justin Cormack) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/gen | Well also there is the bit thats says | | http://pubs.opengroup.org/onlinepubs/009695399/utilities/xcu_chap02.html#tag_02_13_01 | | When pattern matching is used where

Re: CVS commit: src/tests/lib/libm

2014-03-02 Thread Jukka Ruohonen
On Sat, Mar 01, 2014 at 09:08:39PM +, David Laight wrote: Log Message: Some of the acos() tests seem to fail on some systems. Sorting out why isn't helped by the tests not reporting the erronous value. Change the 'boilerplate' pattern used so that all the values are output. Reduce the

Re: CVS commit: src/tests/lib/libc/ssp

2014-01-10 Thread Martin Husemann
On Fri, Jan 10, 2014 at 08:47:01PM +0200, Jukka Ruohonen wrote: I got a bit lost with Jelinek's reply above. Aren't those tests specifically for NetBSD's ssp(3), a.k.a. -D_FORTIFY_SOURCE=2 and not -fstack-protector? Yes, the ticket could be improved, but actually the behaviour is identical. As

Re: CVS commit: src/tests/lib/libc/net

2014-01-09 Thread Justin Cormack
On Thu, Jan 9, 2014 at 2:18 AM, Christos Zoulas chris...@netbsd.org wrote: Module Name:src Committed By: christos Date: Thu Jan 9 02:18:10 UTC 2014 Modified Files: src/tests/lib/libc/net: Makefile h_dns_server.c h_hostent.c t_hostent.sh Added Files:

Re: CVS commit: src/tests/lib/libc/net

2014-01-09 Thread Martin Husemann
On Thu, Jan 09, 2014 at 09:42:25AM +, Justin Cormack wrote: On Thu, Jan 9, 2014 at 2:18 AM, Christos Zoulas chris...@netbsd.org wrote: Module Name:src Committed By: christos Date: Thu Jan 9 02:18:10 UTC 2014 Modified Files: src/tests/lib/libc/net: Makefile

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Martin Husemann
On Thu, Oct 24, 2013 at 06:40:49AM -0700, Paul Goyette wrote: All of the tests related to sixthavenue.astron.com are still failing in my amd64 test-bed[1]. Sources are updated (via anoncvs) and are current as of 2013-10-23 23:20:04, and the build was successfully done using 'build.sh -j1

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Paul Goyette
On Thu, 24 Oct 2013, Martin Husemann wrote: On Thu, Oct 24, 2013 at 06:40:49AM -0700, Paul Goyette wrote: All of the tests related to sixthavenue.astron.com are still failing in my amd64 test-bed[1]. Sources are updated (via anoncvs) and are current as of 2013-10-23 23:20:04, and the build

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Martin Husemann
On Thu, Oct 24, 2013 at 07:04:41AM -0700, Paul Goyette wrote: Looks normal to me: It is lacking all the IPv6 stuff. Does host list both addresses? Martin

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Paul Goyette
On Thu, 24 Oct 2013, Martin Husemann wrote: On Thu, Oct 24, 2013 at 07:04:41AM -0700, Paul Goyette wrote: Looks normal to me: It is lacking all the IPv6 stuff. Does host list both addresses? Yes, host reports everything: # host sixthavenue.astron.com sixthavenue.astron.com has address

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Martin Husemann
On Thu, Oct 24, 2013 at 07:18:55AM -0700, Paul Goyette wrote: Yes, host reports everything: # host sixthavenue.astron.com sixthavenue.astron.com has address 38.117.134.6 sixthavenue.astron.com has IPv6 address 2620:106:3003:1f00:2e0:81ff:fe2f:e5d7 sixthavenue.astron.com mail is handled by

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Paul Goyette
On Thu, 24 Oct 2013, Martin Husemann wrote: On Thu, Oct 24, 2013 at 07:18:55AM -0700, Paul Goyette wrote: Yes, host reports everything: # host sixthavenue.astron.com sixthavenue.astron.com has address 38.117.134.6 sixthavenue.astron.com has IPv6 address 2620:106:3003:1f00:2e0:81ff:fe2f:e5d7

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Martin Husemann
On Thu, Oct 24, 2013 at 07:37:13AM -0700, Paul Goyette wrote: No cache info, only my provider's name-servers: Can you trace with tcpdump while the test fails? Martin

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Paul Goyette
On Thu, 24 Oct 2013, Martin Husemann wrote: On Thu, Oct 24, 2013 at 07:37:13AM -0700, Paul Goyette wrote: No cache info, only my provider's name-servers: Can you trace with tcpdump while the test fails? From an off-line exchange with Martin, it seems that the failures in my test-bed are

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Taylor R Campbell
Date: Thu, 24 Oct 2013 08:01:51 -0700 (PDT) From: Paul Goyette p...@whooppee.com Although my host machine has full connectivity, including IPv6, the tests are run under qemu. The qemu virtual machine has a default nic available, but there is nothing in the test-bed set-up to

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Martin Husemann
On Thu, Oct 24, 2013 at 03:04:56PM +, Taylor R Campbell wrote: It seems to me that this is an entirely reasonable setup, and that the tests ought not to depend on a connection to the internet. Indeed, another option is to rumpify these tests (and not rely on external machines). Not trivial

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Manuel Bouyer
On Thu, Oct 24, 2013 at 03:04:56PM +, Taylor R Campbell wrote: It seems to me that this is an entirely reasonable setup, and that the tests ought not to depend on a connection to the internet. Seconded. Tests are failing on the xen testbed too, and I wondered how they could work in a setup

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Christos Zoulas
In article 20131024162426.ga7...@asim.lip6.fr, Manuel Bouyer bou...@antioche.eu.org wrote: On Thu, Oct 24, 2013 at 03:04:56PM +, Taylor R Campbell wrote: It seems to me that this is an entirely reasonable setup, and that the tests ought not to depend on a connection to the internet.

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Paul Goyette
Maybe run ifconfig and see if there are any interfaces, other than lo0, in UP state. If not, then the test case can return a skip status. On Thu, 24 Oct 2013, Christos Zoulas wrote: In article 20131024162426.ga7...@asim.lip6.fr, Manuel Bouyer bou...@antioche.eu.org wrote: On Thu, Oct 24,

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Christos Zoulas
On Oct 24, 12:32pm, p...@whooppee.com (Paul Goyette) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/net | Maybe run ifconfig and see if there are any interfaces, other than lo0, | in UP state. If not, then the test case can return a skip status. I think that it should be a builtin test

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread Paul Goyette
On Thu, 24 Oct 2013, Christos Zoulas wrote: On Oct 24, 12:32pm, p...@whooppee.com (Paul Goyette) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/net | Maybe run ifconfig and see if there are any interfaces, other than lo0, | in UP state. If not, then the test case can return a skip

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread David Holland
On Thu, Oct 24, 2013 at 01:24:34PM -0700, Paul Goyette wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/net | Maybe run ifconfig and see if there are any interfaces, other than lo0, | in UP state. If not, then the test case can return a skip status. I think that it should

Re: CVS commit: src/tests/lib/libc/net

2013-10-24 Thread David Holland
On Fri, Oct 25, 2013 at 02:50:56AM +, David Holland wrote: In some sense I'd rather these tests ran by setting up two VMs (rumpy or otherwise), one with bind and one with the resolver. Among other things it would be more likely to produce consistent test results. ...but, as I meant to

Re: CVS commit: src/tests/lib/libc/net

2013-10-23 Thread Jukka Ruohonen
On Sun, Oct 20, 2013 at 01:22:49PM -0400, Christos Zoulas wrote: Module Name: src Committed By: christos Date: Sun Oct 20 17:22:49 UTC 2013 Modified Files: src/tests/lib/libc/net: t_hostent.sh Log Message: broadway changed addresses. Something else too: the test appears

Re: CVS commit: src/tests/lib/libc/net

2013-10-23 Thread Christos Zoulas
On Oct 23, 1:04pm, jruoho...@iki.fi (Jukka Ruohonen) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/net | On Sun, Oct 20, 2013 at 01:22:49PM -0400, Christos Zoulas wrote: | Module Name:src | Committed By: christos | Date: Sun Oct 20 17:22:49 UTC 2013

Re: CVS commit: src/tests/lib/libc/net

2013-10-23 Thread Paul Goyette
On Wed, 23 Oct 2013, Christos Zoulas wrote: On Oct 23, 1:04pm, jruoho...@iki.fi (Jukka Ruohonen) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/net | On Sun, Oct 20, 2013 at 01:22:49PM -0400, Christos Zoulas wrote: | Module Name: src | Committed By:christos | Date

Re: CVS commit: src/tests/lib/libc/net

2013-10-23 Thread Jukka Ruohonen
On Wed, Oct 23, 2013 at 11:11:49AM -0700, Paul Goyette wrote: Fail: stdout does not match expected value --- /tmp/inline.19256b2013-10-23 14:25:50.0 + +++ /tmp/check.19256a/stdout 2013-10-23 14:25:50.0 + @@ -1 +1 @@ -name=sixthavenue.astron.com, length=4,

Re: CVS commit: src/tests/lib/libc/net

2013-10-23 Thread Christos Zoulas
On Oct 23, 10:10pm, jruoho...@iki.fi (Jukka Ruohonen) wrote: -- Subject: Re: CVS commit: src/tests/lib/libc/net | On Wed, Oct 23, 2013 at 11:11:49AM -0700, Paul Goyette wrote: | Fail: stdout does not match expected value | --- /tmp/inline.19256b 2013-10-23 14:25:50.0 +

Re: CVS commit: src/tests/lib/libc/net

2013-10-23 Thread Martin Husemann
They all work for me (again, after Christos' fixes) Martin

Re: CVS commit: src/tests/lib/libc/net

2013-08-16 Thread David Holland
On Fri, Aug 16, 2013 at 11:29:45AM -0400, Christos Zoulas wrote: Log Message: test harness for gethostbyname()/gethostbyaddr() and their internal bits. XXX[1]: How can we avoid using hard-coded hosts for DNS XXX[2]: How do we test NIS? Setting up a private rumpity network, I guess... --

Re: CVS commit: src/tests/lib/libm

2013-05-24 Thread Martin Husemann
On Thu, May 23, 2013 at 04:45:47PM -0400, Christos Zoulas wrote: Module Name: src Committed By: christos Date: Thu May 23 20:45:47 UTC 2013 Modified Files: src/tests/lib/libm: t_scalbn.c Log Message: vaxinate the new tests. To generate a diff of this commit: cvs

Re: CVS commit: src/tests/lib/libm

2013-05-24 Thread Christos Zoulas
On May 24, 8:01am, mar...@homeworld.netbsd.org (Martin Husemann) wrote: -- Subject: Re: CVS commit: src/tests/lib/libm | This is wrong - unlike the other tests that use IEEE FP specific things, | the value tests should work on vax. I'll have a look and fix it properly. They could once you add

Re: CVS commit: src/tests/lib/libm

2013-05-24 Thread Martin Husemann
On Fri, May 24, 2013 at 09:08:18AM -0400, Christos Zoulas wrote: On May 24, 8:01am, mar...@homeworld.netbsd.org (Martin Husemann) wrote: -- Subject: Re: CVS commit: src/tests/lib/libm | This is wrong - unlike the other tests that use IEEE FP specific things, | the value tests should work

<    2   3   4   5   6   7   8   >