Re: [USRP-users] Connect Eth Phy to FPGA

2019-05-22 Thread Ramazan Çetin via USRP-users
Hello, Thank you for your answers. Actually, we need to achieve this task. This task should be performed in B210 (I guess, ethernet MAC is drived by FPGA in here). So, how can i start to this task ? Where can i find an example or some information to drive built-in ethernet MAC in FPGA ? It

[USRP-users] Connect Eth Phy to FPGA

2019-05-21 Thread Ramazan Çetin via USRP-users
Hello, We want to use E310 as a peripheral network device like N210. We want the RF samples come to ethernet interface through FPGA without passing from CPU. In short, we don't want to use CPU. So, can we configure FPGA to achieve this task? (Connecting ethernet interface directly to FPGA)

[USRP-users] Handling Stream Tags in RFNoC Block

2019-05-15 Thread Ramazan Çetin via USRP-users
Hello, I am trying to make OFDM transmitter on E310. In attached flowgraph there are lots of gnuradio blocks for OFDM TX. I want to put FFT block into FPGA to reduce computation load on CPU. But data is passed with tags like tagged stream, so when i used RFNoC: FFT block instead of gnuradio

Re: [USRP-users] Running E310 in Network Mode

2019-05-09 Thread Ramazan Çetin via USRP-users
was designed to be a standalone embedded SDR, not a networked device with full BW streaming capabilities. -Robin *From:* USRP-users on behalf of Ramazan Çetin via USRP-users *Sent:* Wednesday, May 8, 2019 11:21 PM

Re: [USRP-users] Running E310 in Network Mode

2019-05-09 Thread Ramazan Çetin via USRP-users
here: https://files.ettus.com/manual/page_usrp_e3x0.html#e3x0_network_mode *From:* USRP-users on behalf of Ramazan Çetin via USRP-users *Sent:* Wednesday, May 8, 2019 8:02 AM *To:* Ettus Research Support; usrp-users

[USRP-users] Running E310 in Network Mode

2019-05-08 Thread Ramazan Çetin via USRP-users
Hello, We want to run USRP E310 in network mode. I think the samples coming from FPGA passing through CPU before sending to network. This decreases bandwidth because of CPU limitations. So, is there any ettus image or suggestions that we can run E310 directly from FPGA to network without

[USRP-users] Installing opencv-python on E310

2019-04-26 Thread Ramazan Çetin via USRP-users
Hello all, I need to use opencv-python library on E310. When i try installing using pip, it gives me this error: root@ettus-e3xx-sg3:~# pip install opencv-python Collecting opencv-python   Could not find a version that satisfies the requirement opencv-python (from versions: ) No matching

[USRP-users] N310 RFNoC OOT Module Build Issue

2019-04-15 Thread Ramazan Çetin via USRP-users
Hello, I am trying to build basic OOT module for N310. My UHD version is 3.14.0.0-rc1 (I also tried with 3.14.0.0). I can build FPGA image without OOT modules for N310. But when i try building with OOT module using this command, it gives this error:

Re: [USRP-users] OFDM Transceiver on E310

2019-04-04 Thread Ramazan Çetin via USRP-users
. On Thursday, April 4, 2019, Ramazan Çetin via USRP-users mailto:usrp-users@lists.ettus.com>> wrote: Hello all, I am trying to implement OFDM transceiver on E310. Lastly, i already implemented OFDM on N310 (in network mode connected to computer) using GNURadio blocks. But when i ru

[USRP-users] OFDM Transceiver on E310

2019-04-04 Thread Ramazan Çetin via USRP-users
Hello all, I am trying to implement OFDM transceiver on E310. Lastly, i already implemented OFDM on N310 (in network mode connected to computer) using GNURadio blocks. But when i run same example (*ofdm_rx_tx_hier_blocks.grc)* on E310 it gives 'U' and 'O's. Processor cannot handle incoming

Re: [USRP-users] N310 Device Claim Issue

2019-03-04 Thread Ramazan Çetin via USRP-users
Hi again, I could resolve this issue by restarting hwdaemon; systemctl restart usrp-hwd.service This solves problem. After that i need to load the FPGA image again. Best regards. On 4.03.2019 13:18, Ramazan Çetin wrote: Hi all, I am using N310 for my gnuradio OFDM application. Sometimes,

[USRP-users] N310 Device Claim Issue

2019-03-04 Thread Ramazan Çetin via USRP-users
Hi all, I am using N310 for my gnuradio OFDM application. Sometimes, my gnuradio application closes abruptly (because of segfault, some errors etc.). After that, when i try restarting application it gives that error; [INFO] [UHD] linux; GNU C++ version 7.3.0; Boost_106501;

[USRP-users] OFDM on E310 using RFNoC

2019-02-20 Thread Ramazan Çetin via USRP-users
Hello, I am trying to implement OFDM on E310 using RFNoC. My software versions: UHD: v3.14.0.0-rc1 gnuradio: 3.7.13.4 gr-ettus: master branch FPGA: e57dfe0 I followed the FG in below that shown in GRCon15.

Re: [USRP-users] E310 RFNoC FFT Overrun Issue

2019-02-19 Thread Ramazan Çetin via USRP-users
Hi Jonathon, Thanks you for your suggestions. I have achieved getting 60 MHz spectrum samples to file on ARM processor using; RFNoC: Radio -> RFNoC: FFT -> RFNoC: Vector IIR -> RFNoC: Keep 1 in N -> File Sink It just getting overflows after 4-5 seconds such as "*overrun on chan 0*". Is

Re: [USRP-users] E310 RFNoC FFT Overrun Issue

2019-02-10 Thread Ramazan Çetin via USRP-users
Hi Jonathon, Thank you for your reply. I just tried what you said and investigated gr-ettus/examples/rfnoc/rfnoc_vector_iir.grc. I firstly tried without using keep 1 in N block. I just sampled 2MHz and try to get FM radio samples of spectrum. My flowgraph is attached. My problem is when i

Re: [USRP-users] E310 RFNoC FFT Overrun Issue

2019-02-09 Thread Ramazan Çetin via USRP-users
09/2019 07:05 AM, Ramazan Çetin via USRP-users wrote: Hello all, I want to get fft of 50Ms/s signal and after fft using DDC i will pass the samples with 1-5Ms/s to ARM processor. I have compiled an FPGA ima

[USRP-users] E310 RFNoC FFT Overrun Issue

2019-02-09 Thread Ramazan Çetin via USRP-users
Hello all, I want to get fft of 50Ms/s signal and after fft using DDC i will pass the samples with 1-5Ms/s to ARM processor. I have compiled an FPGA image with FFT, DDC and FIFO. |   | _ |   |    / |   |   |   RFNoC blocks on this

Re: [USRP-users] Custom RFNOC fpga image build failed

2019-02-04 Thread Ramazan Çetin via USRP-users
10_HG' in the fpga/usrp3/top/x300 dir)? Jonathon On Sun, Feb 3, 2019 at 6:53 AM Ramazan Çetin via USRP-users mailto:usrp-users@lists.ettus.com>> wrote: Hello all, (Sorry about multiple messages. I guess i had a problem about

Re: [USRP-users] Custom RFNOC fpga image build failed

2019-02-03 Thread Ramazan Çetin via USRP-users
urce setupenv.sh; make X310_HG' in the fpga/usrp3/top/x300 dir)? Jonathon On Sun, Feb 3, 2019 at 6:53 AM Ramazan Çetin via USRP-users mailto:usrp-users@lists.ettus.com>> wrote: Hello all, (Sorry about multiple messages. I guess i had a problem about

Re: [USRP-users] Custom RFNOC fpga image build failed

2019-02-02 Thread Ramazan Çetin via USRP-users
your Vivado install is corrupt. Are you able to build any other images, such as the default X310 image (i.e. run 'source setupenv.sh; make X310_HG' in the fpga/usrp3/top/x300 dir)? Jonathon On Sun, Feb 3, 2019 at 6:53 AM Ramazan Çetin via USRP-users mailto:usrp-users@lists.ettus.com>> wrot

[USRP-users] Custom RFNOC fpga image build failed

2019-02-02 Thread Ramazan Çetin via USRP-users
Hello all, (Sorry about multiple messages. I guess i had a problem about my mail client) I am trying to build custom FPGA image for E310 which includes DDC and FFT. I compiled and installed; - UHD master branch (using -DENABLE_RFNOC=ON) - gnuradio v3.7.13.4 - gr-ettus master After

[USRP-users] Custom RFNOC fpga image build failed

2019-02-02 Thread Ramazan Çetin via USRP-users
Hello all, I am trying to build custom FPGA image for E310 which includes DDC and FFT. I compiled and installed; - UHD master branch (using -DENABLE_RFNOC=ON) - gnuradio v3.7.13.4 - gr-ettus master After installing i have checked version of UHD. UHD version: 3.14.0.0-0-gabf0db4e Then

[USRP-users] USRP file Transmission Packet Loss

2018-12-21 Thread Ramazan Çetin via USRP-users
Hello all, I am trying to transmit a file using GMSK and USRP B210. I have created a flowgraph in gnuradio-companion. File Source -> Packet encoder -> GMSK Mod -> Multiply Const (1) -> USRP Sink USRP Source -> GMSK Demod -> Packet Decoder -> File Sink At the end, transmitter does not send

[USRP-users] E310 receive architecture

2018-12-04 Thread Ramazan Çetin via USRP-users
Hello all, I am trying to understand what is going on while receiving RF data in USRP E310. I am using gnuradio in programming of USRP E310. I investigated AD9361 chip and the baseband data rate of AD9361 is maximum of 61.44MHz. So this is equal to master clock rate as i understood. For