I'm having the same problem, and the three solutions don't work for me.
In all the three solutions (and even the other thread I started with
your reply windo diffthis) doesn't work.
What happens is that one window folds the complete file to one line.
The other window keeps the file open as it
Hi,
Is it possible from a first gvim session to init a second gvim session
in diff mode?
And this diff mode should then use the two files that are used in the
first gvim session?
So if A.TXT and B.TXT are used in the first, the second should show
the difference in the second gvim session?
Hi,
I'm using gvim 7.0 the word-completion function activated by CTRL-N.
When selecting the right word by pressing CTRL-N to step trough the list I
select the wanted word with pressing enter.
This results in the right word, but also an extra carriage return.
Is it possible to select the right
From: OnionKnight [EMAIL PROTECTED]
To: [EMAIL PROTECTED]
Subject: Troubles configuring vim (multi-questions)
Date: Thu, 12 Apr 2007 17:22:06 -0700 (PDT)
[deleted]
* I wanted the Home-button to act so that it first jumps to the first
non-whitespace character of the current line (i.e. skip the
[...]
You could use a Search/Replace on the full text. This does end up
modifying your base text, so be sure to only use it on modifiable buffers.
For example:
- all lines containing the char : followed with zero or more then one
space(s) and then in
should become: untouched what is here:
Hi
How to modify code so that only one space is between two characters or
words?
For example:
- all lines containing the char : followed with zero or more then one
space(s) and then in
should become: untouched what is here: in(untouched what is here
aaa : in std_logic;
bb : in
Hi,
Is it possible to source all scripts/plugins from VIM without leaving VIM?
When experimenting with plugins, I now
1) modify plugin
2) start VIM
3) test plugin
4) detect an error
5) close VIM
6) goto 1)
And this loops then till I fix it or give up
I know you can type :source eric_plugin
But
From: Bill Moseley [EMAIL PROTECTED]
[...]
Is it possible to fold the =head1 or =item sections within a given
=head1 section?
--
Bill Moseley
[EMAIL PROTECTED]
I'm not sure what you want.
I don't know PERL
But this plugin helpt me defining my folds:
Hi,
With the follwing subtitue it's possible to remove blocks of 3 empty lines
:%s/^\n\{3}//
How do you need to change it, so that it does remove blocks of 2, or more,
empty lines?
And how do you give this command just before closing the file?
Rgds,
Eric
Hi,
In VHDL I many times need to change the following code:
component AAA
port (
i1 : in std_ulogic;
i2 : in std_ulogic;
o1 : out std_ulogic;
o2 : out std_ulogic);
end component AAA;
into:
inst_AAA : AAA
port map (
i1 = in ,
i1 = in ,
[deleted]
You may have to clarify. I'm not sure how this mapping is taking
place. It looks like you start with two inputs (i1 and i2)
and two outputs (o1, and o2) and you want to map them to two
inputs (i1 and i1 again) and *three* outputs (o1, o2, and
o2 again).
My stupidity
I've made two
[deleted]
component AAA
port (
i1 : in std_ulogic;
i2 : in std_ulogic;
o1 : out std_ulogic;
o2 : out std_ulogic);
end component AAA;
to become:
inst_AAA : AAA
port map (
i1 = in ,
i2 = in ,
o1 = out,
o2 = out);
[deleted]
Hi,
I'm used to cut, copy and paste the windows-way.
Meaning, selecting text and then press CTRL-X, CTRL-C or CTRL-V.
In VIM (and correct me if I'm wrong) you
yank (y) for copy
put (p) for paste
. for cut
With this in mind, how to cut, copy and paste the VIM-way?
Because when I select text
Tim thanks for your reply.
See embedded how far I got.
[...]
Can you create your own (VHDL) fold markers? (not sure if the terminolgy
is OK)
For example I have VHDL-code like below:
component bufg
port (
o : out std_ulogic;
i : in std_ulogic);
end component;
And when I'm at the first
Hi,
In vhdl I create many times entity is like below:
entity HALFADDER is
port(
A, B : in bit;
SUM, CARRY: out bit);
end entity HALFADDER;
When I want to use this port into another bigger file
(for example a full-adder ) I need in VHDL to
create a component from it.
This then looks like
Hi,
Is it possible to create a fill-in macro in VIM?
For example:
Can you create and activate a macro that goes like this:
[Activate macro]
First-Name: [first fill-in place] Last-Name: [second fill in place] CR
Country: [third fill-in place]
[Macro ended]
So that when you fill this in you get in
Hi,
Is it possible to make an inoremap that inserts the date as text and the
filename as text?
For example:
inoremap @date {insert_date_as_text()}
inoremap @filename {insert_filename_as_text()}
Rgds,
Eric
_
Get a FREE Web
Hi,
I have mapped CTRL-Z as u
I'm not sourcing mswin.vim, and also not behave as windows
CTRL-Z does most of the times the undo-function.
But why does it also does minimize the screen sometimes?
Rgds,
Eric
_
Find what you need at
Hi,
How do you making inoremap filetype depend?
What I want is
if (filetype == vim) then
inoremap @a A
else if (filetype == c)
inoremap @a aa
else if (filetype == vhdl)
inoremap @a AAAaaa
else
inoremap @a aaaAAA
end if
Best regards,
Eric
Still unclear to me what you want.
Don't now if this is what you want, but I have the following mappings for
changing upper to lower case from any position in a line:
ALT - to make from current pos till begin-of-line everything lower case
To keep the cursor position it's prepend with mz
Hi,
Triggered by the video presentation of Bram by making a iabbreviation or
inoremaps of things you type often in combination with the reply of Gavin,
would you like to share some of your inoremap mappings?
Some like Gavin send?
Plain and simple and very effective.
[...]
inoremap [ []LEFT
Hi,
What is the difference between imap or iabbr?
Or in other words, when should you use imap and when to iabbr?
Rgds,
Eric
_
Find what you need at prices youll love. Compare products and save at MSN®
Shopping.
Hi Yegappan
[...]
Remove the ! before the let.
let file_names = input(Enter file name(s): , '', 'file')
- Yegappan
Thanks, it works
Rgds,
Eric
_
Win a Zunemake MSN® your homepage for your chance to win!
Thanks all.
I got it working what I wanted by copying the mswin.vim file and stripping
it to what I wanted.
When you don't understand vim-scripting as I do then good copying is beter
then bad designing .
Rgds,
Eric
---START
kMinus and CTRL-X and SHIFT-Del are Cut
vnoremap kMinus+x
Hi Yegappan,
I'm trying to do as you said:
In the prompt for adding a file to the workspace, you have to enter
the filename.
If you are using Vim7, you can make the attached change to the workspace
plugin to get filename completion at this prompt. With this change, you can
complete directory
Hi,
Is it possible to map the - and + and * keys on the nummerical section of a
keyboard (in other words the keys in the group where the num-lock key is
also)
to functioan as cut, paste and copy?
If so, how do you this?
Rgds,
Eric
Hi,
I'm trying to learn new vim habbits by
- not sourcing mswin.vim
- not setting behave mswin.
to migrate easier to linux.
I also start using the old-dos way again by copying, cutting and pasting
with ctrl-insert, shift-delete and shift-insert iso CTRL-C, CTRL-X, CTRL-V,
as these CTRL-keys
Hi Yeggapan,
[...]
You can try using the workspace manager plugin:
http://vim.sourceforge.net/scripts/script.php?script_id=1410
[...]
I got it so far working.
One question.
When I give the command af(AddFile) in the filebuffer, the plugin comes
with
the message give file name (or something
Hi,
I'm trying to diff two files in (portable) gvim
I have two files opened in two vertical windows.
(Kind of Winmerge screen setup)
When I type :diff I get the error
E97: Can not create diffs
Why is that?
When I type :verbose
I dont get more info
Rgds,
Eric
Hi,
Is it possible to remap the up and down key to behave a bit different.
For example I have text like below
: b123
: d456
: g789
And then I want everything after the : starting with xx_
When I then move the b, press i and type xx_ then it looks like xx_b123
When I then press
[...]
Try downloading Steve Hall's self-installer from
https://sourceforge.net/project/showfiles.php?group_id=43866package_id=39721
and telling it to install into a Vim folder under your My
documents folder.
I tried just now. But when I select a different directory then the
Hi Yegappan,
From: Yegappan Lakshmanan [EMAIL PROTECTED]
To: Eric Leenman [EMAIL PROTECTED]
[...]
You can try using the workspace manager plugin:
http://vim.sourceforge.net/scripts/script.php?script_id=1410
- Yegappan
I'm a simple VIM user.
That's why I ask many things on this mailing-group
Antoine,
[snip]
Some of them, maybe. (g)vim, even for Win95, was much more than just an
exe file, unless you mean a self-installer containing an archive of the
whole distribution.
I agree. What I ment is to copy the gvim.exe file (after running the
installation of gvim70.exe)
I did so this
Thanks folks, I got it working now.
From: A.J.Mechelynck [EMAIL PROTECTED]
To: Eric Leenman [EMAIL PROTECTED]
CC: vim@vim.org, [EMAIL PROTECTED]
Subject: Re: color loading sequence in GVIM
Date: Tue, 06 Feb 2007 04:01:01 +0100
Eric Leenman wrote:
Hi,
Following your comments, I've converted
Hi,
Is it possible to start and use vim as an old exe file or com file? (don't
know if the terminolgy is ok)
What I mean is that old windows programs for windows 95 where just exe
files, and by copy them on a pc and starting them they just work.
Nowadays you have to install them first before
Preference 1:
To copy and paste a (g)vim.exe file without the need to install it, or
administrator rights.
If this is possible, how to do this?
Should work just fine, although you'll also need to be aware of other
files like _vimrc, _gvimrc, syntax files, etc. Setting $VIM
appropriately should
Preference 1:
To copy and paste a (g)vim.exe file without the need to install it, or
administrator rights.
If this is possible, how to do this?
Should work just fine, although you'll also need to be aware of other
files like _vimrc, _gvimrc, syntax files, etc. Setting $VIM
appropriately should
===
}}}
vim60: set foldmethod=marker: {{{
}}}
From: A.J.Mechelynck [EMAIL PROTECTED]
To: Albie Janse van Rensburg [EMAIL PROTECTED]
CC: Eric Leenman [EMAIL PROTECTED], vim@vim.org
Subject: Re: color loading sequence in GVIM
Date: Mon, 05 Feb 2007 17:01:57 +0100
Albie Janse van
Hi,
I'm doing a reinstall of gvim and placing my color and font setting in a
seperate file in the plugin.
This file is located in: C:\Program Files\Vim\vimfiles\plugin
And it contains the following:
[START OF FILE]
:hi Commentctermfg=darkgreen gui=None guifg=darkgreen
:hi Statement
Hi,
I'm using gvim on windows, which is behaving like windows shortcuts:
For example:
CTRL-S for save
CTRL-X for cut,
ect.
When using vim on Linux these don't work anymore because of linux windows
managers.
Is it possible to put the same functionality of the CTRL-key (and/or ALT) to
a key
Hi,
I'm new to programming in vim scripts.
I understand from scripts that you can say
set backupext=eric
Is it possible to set backupext dynamicly?
for example the current time?
something like:
string time = 'current-time' (which changes every second)
set backupext=*time
Rgds,
Eric
I then get the following messages
E739: Cannot create directory: U:\/C:\temp
E739: Cannot create directory: U:\/C:\temp\vim_backup
[...]
You do it by writing:
let g:backup_directory=C:\\temp\\vim_backup
Yakov
_
Try the next
Thank you all,
This does the trick.
[...]
%X as part of the strftime parameter generates a time stamp like
14:51:23, but colons are invalid in Windows file names. Better use
something like this:
let bex = '-' . strftime(%Y%m%d%H%M%S) . '~'
Regards,
Jürgen
[...]
Hi Tony,
[snip]
:mapF2Left C-Left
:map! F2Left C-Left
Note that, unlike with the Ctrl, Shift and Alt keys, the sequence press
F2 release F2 press Left release Left _will_ activate the mapping.
[snip]
Does this mean that I can't use a mapping for F2 only?
And why is
Hi,
I was wondering if you can combine arrow keys with Fx keys.
For example, can a mapping like CTRLleft be replaced with F2left
The idea is to move mappings which use CTRL and ALT to Fx keys and SHIFT-Fx
keys.
This because CTRL and ALT are window-manager-dependend on Linux-distro's
Best
Hi Tim,
I might be mistaking, yes.
The line contains a codes like:
X200, X040, X200, X040, X3FF, X000, X000, X2AC,
Breaking the line after a , would be fine.
Rgds,
Eric
[...]
I presume you must be misremembering g. I've done it with :s before:
:%s/.\{80\}/\r/g
It's a little
Hi
I have a file where I deleted all lines that don't contain a certain pattern
For example I want to delete all lines that don't contain XXX and YYY.
Before:
[start of file]
abcde XXX fghij YYY
12345 AAA 67890 BBB
klmno XXX pqrst YYY
09876 XXX 54321 BBB
*()- XXX ,./;' YYY
[end of file]
I made a typo
After should be:
After:
[start of file]
abcde XXX fghij YYY
klmno XXX pqrst YYY
*()- XXX ,./;' YYY
[end of file]
Rgds,
Eric
From: Eric Leenman [EMAIL PROTECTED]
To: vim@vim.org
Subject: SR
Date: Fri, 22 Sep 2006 11:59:59 +
Hi
I have a file where I deleted all lines
Hi,
Is it possible to sort lines on line length?
Shortes firsts, longest last?
If so how do you do this?
Rgds,
Eric
_
Try the new Live Search today!
http://imagine-windowslive.com/minisites/searchlaunch/?locale=en-usFORM=WLMTAG
Hi,
I'm trying to expand my use of GVIM also to Linux .
And I see that my mappings with CTRL and/or ALT and/or ARROW keys are
handled
differently on because of the linux windows manager.
Does somebody knows which keys or key-combinations to avoid to create
mappings
that work on WXP and
Hi,
I have file which contains hexadecimal numbers like below:
04F --- 05F --- 052 --- 188 --- 2D4 --- 173 --- 040 --- 18D
051 --- 040 --- 05F --- 1CA --- 2E8 --- 14F --- 040 --- 1E2
051 --- 040 --- 069 --- 1B9 --- 2D7 --- 15E --- 040 --- 1A6
051 ---
Hi Peter
[snip]
I am assuming you want to re-order the columns horizontally, in which case
Sorry for not making my self clear.
I want to re-order the columns vertical
So column 1 is already OK, running from
04F
...
...
052
Column 2 should be
040
...
040
045
046
052
05F
ect.
[snip]
I
Hi,
I'm using page-up and page-down to scroll.
Also ctrl-home and ctrl-end.
This moves the cursor to the beginning of the line.
How can this be avoided.
I need to the cursor to stay where it is, as the lines are 1000+ characters
long
Rgds,
Eric
[snip]
nmap silentPageDown :exe norm .winheight(0).jcr
nmap silentPageUp :exe norm .winheight(0).kcr
nmap silentc-home :let x=col('.')bar1barexe norm .x.barcr
nmap silentc-end:let x=col('.')bar$barexe norm .x.barcr
[snip]
This works great.
I'm trying to map them also to visaul-mode
Hi,
With gv you can re-select the previous visual block.
Is it possible to give a horizontal shift with it?
Like + or - 5?
Best Regards,
Eric
_
On the road to retirement? Check out MSN Life Events for advice on how to
get there!
Thanks, it works
Eric
From: Tim Chase [EMAIL PROTECTED]
To: Eric Leenman [EMAIL PROTECTED]
CC: vim@vim.org
Subject: Re: Sorting a file
Date: Wed, 02 Aug 2006 08:44:07 -0500
How do I sort this file so that
- removes the lines starting with ###
:g/^###/d
- removes the lines starting
Hi
I have a file which contains lines like below
Line 18 |200 040 200
200 200 051 200 1C2 200 2E2 Line 18 |
200 040 200 040 200 052 200 1B9 200 2F4
Line 18 |
Hi,
I'm using VIM on WinXP and want to move my files now also to Linux.
I copied the files and VIM reads in my .vimrc.
It comes up with a bounce of errors all related to ^M.
When I open this file on my WinXP I don't see them.
What do I need to do to make these ^M also visible on my WinXP
Thank you all I got it working.
One thing that seems to be broken is the VIM tip
On http://www.vim.org/tips/tip.php?tip_id=892
fun! InitBex()
let myvar = strftime((%y%m%d)[%Hh%M])
let myvar = set backupext=_. myvar
execute myvar
echo myvar
endfun
map ESC :call InitBex()CR
On my WinXP I use
59 matches
Mail list logo