[casper] Re: Sync ADC16 in ROACH2

2023-06-07 Thread Wang
Hi everyone,

I solved this problem by using an older version of mlib_devel.
Files · edbc38236f2a756e169b74a7bad0d76263c0f91a · ALPACA / CASPER / 
mlib_devel · GitLab (byu.edu) 
<https://gitlab.ras.byu.edu/alpaca/casper/mlib_devel/-/tree/edbc38236f2a756e169b74a7bad0d76263c0f91a>

[observer@cylctrl bin]$ ruby adc16_status.rb roach8
roach8: Design built for ROACH2 rev2 with 8 ADCs (ZDOK rev2)
roach8: Gateware supports demux modes (currently using demux by 1)
roach8: ZDOK0 clock OK, ZDOK1 clock OK
roach8: 
roach8: 12341234123412341234123412341234
roach8:  deskew
roach8:  sync
[image: 微信图片_20230607173048.png]
Wang

在2023年6月6日星期二 UTC+8 20:03:16 写道:

> Hi everyone,
>
> When I validated my design on ROACH2, I found that there were some issues 
> with the synchronization of the adc chip.
>
> The environment I used was ubuntu14 ise14.7 matlab2013b mlib_devel-roach2.
>
> The parameter of my XSG_core_config module is set to ROACH2:sx475t 
> adc0_clk 200MHz.
>
> I used david's adc16 script for initialization, and there were no errors.
>
> Use adc16_status.rb to view the adc channel and find that synchronization 
> was not successful.
>
>  
>
> adc16_init.rb:
>
> Connecting to roach7...
>
> Programming roach7 with adcsync.bof...
>
> Design built for ROACH2 rev2 with 8 ADCs (ZDOK rev2)
>
> Gateware supports demux modes (using demux by 1)
>
> Resetting ADC, power cycling ADC, and reprogramming FPGA...
>
> ZDOK0 clock OK, ZDOK1 clock OK
>
> Calibrating SERDES blocks...ABCDEFGH
>
> SERDES calibration successful.
>
> Selecting analog inputs...
>
> Using default digital gain of 1...
>
> Done!
>
>  
>
> adc16_status.rb :
>
> [observer@cylctrl bin]$ ruby adc16_status.rb roach7
>
> roach7: Design built for ROACH2 rev2 with 8 ADCs (ZDOK rev2)
>
> roach7: Gateware supports demux modes (currently using demux by 1)
>
> roach7: ZDOK0 clock OK, ZDOK1 clock OK
>
> roach7: 
>
> roach7: 12341234123412341234123412341234
>
> roach7:  deskew
>
> roach7:  sync
>
>  
>
> May I ask which step do you think I did not set correctly, resulting in 
> the failure of adc synchronization?
>
> Has anyone synced successfully on ROACH2 using the adc16x250-8 module?
>
> Could you please send me a copy of your mlib_devel? I suspect it is caused 
> by the version of mlib_devel.
>
>  
>
> BW!
>
> Wang
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/41d19d40-639f-4c4b-934e-7c4fac5dc533n%40lists.berkeley.edu.


[casper] Sync ADC16 in ROACH2

2023-06-06 Thread Wang
Hi everyone,

When I validated my design on ROACH2, I found that there were some issues 
with the synchronization of the adc chip.

The environment I used was ubuntu14 ise14.7 matlab2013b mlib_devel-roach2.

The parameter of my XSG_core_config module is set to ROACH2:sx475t adc0_clk 
200MHz.

I used david's adc16 script for initialization, and there were no errors.

Use adc16_status.rb to view the adc channel and find that synchronization 
was not successful.

 

adc16_init.rb:

Connecting to roach7...

Programming roach7 with adcsync.bof...

Design built for ROACH2 rev2 with 8 ADCs (ZDOK rev2)

Gateware supports demux modes (using demux by 1)

Resetting ADC, power cycling ADC, and reprogramming FPGA...

ZDOK0 clock OK, ZDOK1 clock OK

Calibrating SERDES blocks...ABCDEFGH

SERDES calibration successful.

Selecting analog inputs...

Using default digital gain of 1...

Done!

 

adc16_status.rb :

[observer@cylctrl bin]$ ruby adc16_status.rb roach7

roach7: Design built for ROACH2 rev2 with 8 ADCs (ZDOK rev2)

roach7: Gateware supports demux modes (currently using demux by 1)

roach7: ZDOK0 clock OK, ZDOK1 clock OK

roach7: 

roach7: 12341234123412341234123412341234

roach7:  deskew

roach7:  sync

 

May I ask which step do you think I did not set correctly, resulting in the 
failure of adc synchronization?

Has anyone synced successfully on ROACH2 using the adc16x250-8 module?

Could you please send me a copy of your mlib_devel? I suspect it is caused 
by the version of mlib_devel.

 

BW!

Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/a92b52b4-1f91-49ce-b0cf-c397332e535fn%40lists.berkeley.edu.


[casper] Re: The output data of the fft_biplex_real_2x

2023-04-21 Thread Wang
I had trouble understanding how the fft module works before, but now I 
understand. Therefore, the content expressed is very messy and takes up 
your time. I am very sorry.

在2023年4月12日星期三 UTC+8 00:47:14 写道:

> Hi CASPER,
>
> I've recently been designing the Corner Turner module.Converts the data 
> shape from [input channel, frequency] to [frequency, input channel].
>
> My understanding of FFT module is not enough, especially the relationship 
> between data and frequency points. 
>
> fft_biplex_real_2x block:Fft biplex real 2x - Casper (berkeley.edu) 
> <https://casper.astro.berkeley.edu/w/index.php?title=Fft_biplex_real_2x=7999>
>
> This is my understanding of fft_biplex_real_2x block: The FFT of the real 
> number input will generate complex numbers, but with a Hermitian symmetry 
> on the Fourier components, i.e. the negative frequency part is just the 
> complex conjugate of the corresponding positive frequency component, only 
> half of the complex numbers are independent. To avoid wasting memory and 
> data transportation, the input data block of two input channels are 
> combined as the real and imaginary components respectively to form a 
> complex input, and then FFTed together.
>
> An EQ module is placed between FFT module and Transpose module.
>
> I don't think I understand the 'frequency point' enough, so the following 
> question may itself be wrong.
>
> My question is:
> 1.The fft_biplex_real_2x block sets fft size = 2^11. Its two outputs can 
> be viewed as the output of four real streams, each containing 1024 
> frequency points. Is that right?
>
> 2.I designed 4 transpose modules, each responsible for a quarter frequency 
> point of 1024. Each module corresponds to a 10gb module that packages and 
> outputs the data.
>
> I'm curious, the fft output contains 1024 frequency points.How to divide 
> the real stream containing 1024 frequency points?  Examples: 
> transpose_1:0-255, transpose_2:256-511, transpose_3:512-767, transpose: 
> 768-1023.
>
> Does anyone know the F-engine design article? It is better to have fft and 
> cornerturn module design ideas, I would like to read it!
>
> I really hope someone can communicate with me, I would appreciate it!
>
> BW!
> Wang
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/7a5dbeeb-5012-4f65-bd0c-86cef0825c44n%40lists.berkeley.edu.


[casper] Unable to program bit stream from filename.bof

2023-04-21 Thread Wang
Hi CASPER,
I failed to run the bof file. Here are the details:

1)I have 7 ROACH2s, 6(netboot) of which ran the bof file successfully and 
the seventh(soloboot) failed.

2)Seventh (failed), can run other bof files.
?progdev roach2_MAC_wz.bof
#log info 1206015602753 raw attempting\_to\_program\_roach2_MAC_wz.bof
#log info 1206015602760 raw 
attempting\_to\_program\_bitstream\_of\_19586188\_bytes\_to\_device\_/dev/roach/c
 
onfig
#log error 1206015603034 raw write\_to\_fpga\_failed:\_Success
#log error 1206015603037 raw 
unable\_to\_program\_bit\_stream\_to\_/dev/roach/config
#log error 1206015603037 raw 
unable\_to\_program\_bit\_stream\_from\_roach2_MAC_wz.bof
!progdev fail
?progdev roach2_MAC.bof
#log info 1206016122388 raw attempting\_to\_program\_roach2_MAC.bof
#log info 1206016122394 raw 
attempting\_to\_program\_bitstream\_of\_19586188\_bytes\_to\_device\_/dev/roach/config
#fpga loaded
#fpga ready
!progdev ok

3)On failure, the 7th ROACH2 terminal outputs the following message:
/dev/roach # roach VMA close   
  
roach release mem calledroach open config called   
  
rdev gpio preconfig doneProgrammed fpga device id = 04288093   
  
request to write 1740 was not a multiple of 4, only writing 1743request to 
write 0 was not a3
roach release config called 

4)This information should be helpful:
/dev/roach # ls 
 
config  mem 
 
/dev/roach # cat config 
 
roach open config called   
  
rdev gpio preconfig donecat: read error:roach release config called Invalid 
argument 
/dev/roach #

Does anyone have any experience in solving this problem?

BW!
Wang








-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/102ddea9-28dd-4586-998e-034b3fca9cedn%40lists.berkeley.edu.


[casper] The output data of the fft_biplex_real_2x

2023-04-11 Thread Wang
Hi CASPER,

I've recently been designing the Corner Turner module.Converts the data 
shape from [input channel, frequency] to [frequency, input channel].

My understanding of FFT module is not enough, especially the relationship 
between data and frequency points. 

fft_biplex_real_2x block:Fft biplex real 2x - Casper (berkeley.edu) 
<https://casper.astro.berkeley.edu/w/index.php?title=Fft_biplex_real_2x=7999>

This is my understanding of fft_biplex_real_2x block: The FFT of the real 
number input will generate complex numbers, but with a Hermitian symmetry 
on the Fourier components, i.e. the negative frequency part is just the 
complex conjugate of the corresponding positive frequency component, only 
half of the complex numbers are independent. To avoid wasting memory and 
data transportation, the input data block of two input channels are 
combined as the real and imaginary components respectively to form a 
complex input, and then FFTed together.

An EQ module is placed between FFT module and Transpose module.

I don't think I understand the 'frequency point' enough, so the following 
question may itself be wrong.

My question is:
1.The fft_biplex_real_2x block sets fft size = 2^11. Its two outputs can be 
viewed as the output of four real streams, each containing 1024 frequency 
points. Is that right?

2.I designed 4 transpose modules, each responsible for a quarter frequency 
point of 1024. Each module corresponds to a 10gb module that packages and 
outputs the data.

I'm curious, the fft output contains 1024 frequency points.How to divide 
the real stream containing 1024 frequency points?  Examples: 
transpose_1:0-255, transpose_2:256-511, transpose_3:512-767, transpose: 
768-1023.

Does anyone know the F-engine design article? It is better to have fft and 
cornerturn module design ideas, I would like to read it!

I really hope someone can communicate with me, I would appreciate it!

BW!
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/5d706944-3833-4641-adfd-fca688aee6bfn%40lists.berkeley.edu.


Re: [casper] Roach monitor and management subsystem

2023-03-22 Thread Wang
Hi Russ,

Thank you very much!

Cheer,
Wang
在2023年3月23日星期四 UTC+8 12:05:17 写道:

> Hi Wang,
>
>
> I searched on Github and found roachnest.py.
>
> https://github.com/telegraphic/roachnest​
>
>
> Thanks,
>
> Russ​
> --
> *From:* cas...@lists.berkeley.edu  on behalf 
> of Wang 
> *Sent:* Wednesday, March 22, 2023 11:48 PM
> *To:* cas...@lists.berkeley.edu
> *Cc:* Kaj Wiik
> *Subject:* Re: [casper] Roach monitor and management subsystem 
>  
> Hi Kaj, 
>
> Thank you for your reply.
> The information on this web page is very useful to me.
> However, the python scripts included do not have links or have broken 
> links.
> How did you find this page? I want to find out something else I can use.
> Does anyone have a backup of roach_monitor.py or roachnest.py?
>
> Cheers,
> Wang
>
> 在2023年3月23日星期四 UTC+8 01:33:26 写道:
>
>> Hi! 
>>
>> I do not have experience on ROACH but found this: 
>>
>> http://telegraphic.github.io/roachnest/ 
>>
>> Cheers, 
>> Kaj 
>>
>> On 22/03/2023 18:54, Wang wrote: 
>> > Hi CASPER, 
>> > 
>> > I want to manage and monitor ROACH2 status. 
>> > I found this website has what I want. 
>> > Roach monitor and management subsystem - Casper (berkeley.edu) 
>> > <
>> https://casper.astro.berkeley.edu/wiki/Roach_monitor_and_management_subsystem>
>>  
>>
>> > But the python code link is broken. Does anyone have a backup? 
>> > This subsystem works at ROACH. Does ROACH2 work as well? 
>> > I still don't know much about how this subsystem is created and how it 
>> works. 
>> > Do you have more detailed documentation? 
>> > I would appreciate it if anyone would reply! 
>> > 
>> > BW 
>> > Wang 
>> > 
>> > -- 
>> > You received this message because you are subscribed to the Google 
>> Groups 
>> > "cas...@lists.berkeley.edu" group. 
>> > To unsubscribe from this group and stop receiving emails from it, send 
>> an email 
>> > to casper+un...@lists.berkeley.edu 
>> > <mailto:casper+un...@lists.berkeley.edu>. 
>> > To view this discussion on the web visit 
>> > 
>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/36e253ee-da6b-4402-b04b-415247b0cd9cn%40lists.berkeley.edu
>>  
>> <
>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/36e253ee-da6b-4402-b04b-415247b0cd9cn%40lists.berkeley.edu?utm_medium=email_source=footer>.
>>  
>>
>>
> -- 
> You received this message because you are subscribed to the Google Groups "
> cas...@lists.berkeley.edu" group.
> To unsubscribe from this group and stop receiving emails from it, send an 
> email to casper+un...@lists.berkeley.edu.
> To view this discussion on the web visit 
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/e076a62f-fbe7-4179-b8b8-aa36109269b8n%40lists.berkeley.edu
>  
> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/e076a62f-fbe7-4179-b8b8-aa36109269b8n%40lists.berkeley.edu?utm_medium=email_source=footer>
> .
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/fc50c194-ea1b-4a19-89d5-e3300dadf265n%40lists.berkeley.edu.


Re: [casper] Roach monitor and management subsystem

2023-03-22 Thread Wang
Hi Kaj,

Thank you for your reply.
The information on this web page is very useful to me.
However, the python scripts included do not have links or have broken links.
How did you find this page? I want to find out something else I can use.
Does anyone have a backup of roach_monitor.py or roachnest.py?

Cheers,
Wang

在2023年3月23日星期四 UTC+8 01:33:26 写道:

> Hi!
>
> I do not have experience on ROACH but found this:
>
> http://telegraphic.github.io/roachnest/
>
> Cheers,
> Kaj
>
> On 22/03/2023 18:54, Wang wrote:
> > Hi CASPER,
> > 
> > I want to manage and monitor ROACH2 status.
> > I found this website has what I want.
> > Roach monitor and management subsystem - Casper (berkeley.edu) 
> > <
> https://casper.astro.berkeley.edu/wiki/Roach_monitor_and_management_subsystem
> >
> > But the python code link is broken. Does anyone have a backup?
> > This subsystem works at ROACH. Does ROACH2 work as well?
> > I still don't know much about how this subsystem is created and how it 
> works.
> > Do you have more detailed documentation?
> > I would appreciate it if anyone would reply!
> > 
> > BW
> > Wang
> > 
> > -- 
> > You received this message because you are subscribed to the Google 
> Groups 
> > "cas...@lists.berkeley.edu" group.
> > To unsubscribe from this group and stop receiving emails from it, send 
> an email 
> > to casper+un...@lists.berkeley.edu 
> > <mailto:casper+un...@lists.berkeley.edu>.
> > To view this discussion on the web visit 
> > 
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/36e253ee-da6b-4402-b04b-415247b0cd9cn%40lists.berkeley.edu
>  
> <
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/36e253ee-da6b-4402-b04b-415247b0cd9cn%40lists.berkeley.edu?utm_medium=email_source=footer
> >.
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/e076a62f-fbe7-4179-b8b8-aa36109269b8n%40lists.berkeley.edu.


[casper] Roach monitor and management subsystem

2023-03-22 Thread Wang
Hi CASPER,

I want to manage and monitor ROACH2 status. 
I found this website has what I want. 
Roach monitor and management subsystem - Casper (berkeley.edu) 
<https://casper.astro.berkeley.edu/wiki/Roach_monitor_and_management_subsystem>
But the python code link is broken. Does anyone have a backup? 
This subsystem works at ROACH. Does ROACH2 work as well?
I still don't know much about how this subsystem is created and how it 
works.
Do you have more detailed documentation?
I would appreciate it if anyone would reply!

BW
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/36e253ee-da6b-4402-b04b-415247b0cd9cn%40lists.berkeley.edu.


Re: [casper] Save the raw data output from the ADC

2023-03-08 Thread Wang
Hi Indrajit,

May I ask what is the environment in which you built the model? 

And do you have a description of the model?

The model is not complete after I opened it.

Regards
Wang


在2023年3月8日星期三 UTC+8 15:20:54 写道:

> Hi Wang,
>
>
> Generally, I use Burst mode (Non continues ) of RAW voltage recording for 
> ADC testing and other testing, Herewith I shared the design file which 
> packs the raw voltage (iADC) into the 10 Gbe. 
>
>
> https://drive.google.com/file/d/17766ANxnbz9Um-RdTiUrtZl5KOQAhNqq/view?usp=sharing
>
> Thanks and regards 
>
> Indrajit
>
>
> On 08/03/23 12:41 pm, Wang wrote:
>
> Caution: This email originated outside IIA. 
>
> Hi CASPER, 
>
> I built the FX correlator using ROACH2 and GPU server.
>
> Now there is a problem, I want to save the raw data that the ADC board 
> outputs. I don't know how to do that.
>
> Has anyone thought about this problem or has a way to save ADC output data?
>
> I would appreciate a lot if you could reply me!
>
> BW!
> Wang
>
> -- 
> You received this message because you are subscribed to the Google Groups 
> "cas...@lists.berkeley.edu" group.
> To unsubscribe from this group and stop receiving emails from it, send an 
> email to casper+un...@lists.berkeley.edu.
> To view this discussion on the web visit 
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/54ba6ed5-b3c6-4f85-911f-bce97fbfeb46n%40lists.berkeley.edu
>  
> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/54ba6ed5-b3c6-4f85-911f-bce97fbfeb46n%40lists.berkeley.edu?utm_medium=email_source=footer>
> .
>
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/e7b148c7-20d0-4b92-8948-511a5e0c94adn%40lists.berkeley.edu.


Re: [casper] Save the raw data output from the ADC

2023-03-07 Thread Wang
Hi Indrajit,

Thank you very much for your sharing!

The file has access permission and I have applied for it.

BW!
Wang

在2023年3月8日星期三 UTC+8 15:20:54 写道:

> Hi Wang,
>
>
> Generally, I use Burst mode (Non continues ) of RAW voltage recording for 
> ADC testing and other testing, Herewith I shared the design file which 
> packs the raw voltage (iADC) into the 10 Gbe. 
>
>
> https://drive.google.com/file/d/17766ANxnbz9Um-RdTiUrtZl5KOQAhNqq/view?usp=sharing
>
> Thanks and regards 
>
> Indrajit
>
>
> On 08/03/23 12:41 pm, Wang wrote:
>
> Caution: This email originated outside IIA. 
>
> Hi CASPER, 
>
> I built the FX correlator using ROACH2 and GPU server.
>
> Now there is a problem, I want to save the raw data that the ADC board 
> outputs. I don't know how to do that.
>
> Has anyone thought about this problem or has a way to save ADC output data?
>
> I would appreciate a lot if you could reply me!
>
> BW!
> Wang
>
> -- 
> You received this message because you are subscribed to the Google Groups 
> "cas...@lists.berkeley.edu" group.
> To unsubscribe from this group and stop receiving emails from it, send an 
> email to casper+un...@lists.berkeley.edu.
> To view this discussion on the web visit 
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/54ba6ed5-b3c6-4f85-911f-bce97fbfeb46n%40lists.berkeley.edu
>  
> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/54ba6ed5-b3c6-4f85-911f-bce97fbfeb46n%40lists.berkeley.edu?utm_medium=email_source=footer>
> .
>
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/391fdf1d-65d3-4d6a-a003-76b77bc565a8n%40lists.berkeley.edu.


[casper] Save the raw data output from the ADC

2023-03-07 Thread Wang
Hi CASPER,

I built the FX correlator using ROACH2 and GPU server.

Now there is a problem, I want to save the raw data that the ADC board 
outputs. I don't know how to do that.

Has anyone thought about this problem or has a way to save ADC output data?

I would appreciate a lot if you could reply me!

BW!
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/54ba6ed5-b3c6-4f85-911f-bce97fbfeb46n%40lists.berkeley.edu.


[casper] ROACH2 output issues

2022-12-21 Thread Wang
Hi CASPER,

I use ROACH2 to send data to the server.

I wrote a script and ran it.
I used wireshark to capture the data.  
ROACH2 sent data once, and the source address and destination address were 
both correct.[image: capture.png]
I want ROACH to be able to send data to the server all the time, not just 
once.

I checked the cpu status of roach and found that the CPU was only in use 
when the script was running.After the script is finished, the CPU status is 
basically 0.
Can I check the CPU status to determine whether to run the bof file?

I excluded the server NIC problem, the mtu is larger than the packet size.
Is there any possible reason to send the packet only once?

If anyone can help me, I would be grateful!

BW!
Wang






-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/78618584-b3cf-4c83-83ca-d1834aa192c3n%40lists.berkeley.edu.


[casper] Re: Set ROACH2 to power on automatically

2022-12-15 Thread Wang
I found it!

在2022年12月15日星期四 UTC+8 14:49:05 写道:

> Hi CASPER,
>
> I want to set ROACH2 to start automatically with power on. What should I 
> do?
> Do you have relevant materials? If so, please send me a copy.
>
> BW,
> Wang
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/e90de3d3-7f80-420a-8e12-5b10fea1f253n%40lists.berkeley.edu.


[casper] Set ROACH2 to power on automatically

2022-12-14 Thread Wang
Hi CASPER,

I want to set ROACH2 to start automatically with power on. What should I do?
Do you have relevant materials? If so, please send me a copy.

BW,
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/b742ee82-6c21-44a8-bfb4-860515a2e7een%40lists.berkeley.edu.


[casper] Re: Questions about ROACH2 tutorial 1

2022-12-14 Thread Wang
Hi nurzhan,

Have you set the linux system language to English?
When I set it to Chinese at first, there were some errors. Later, when I 
used English mode, these problems disappeared.

BW!
Wang

在2022年12月12日星期一 UTC+8 16:50:16 写道:

> Hi Wang,
>
> I have the same issue. Could you tell did you solve it? And how?
>
> Thank you!
>
> On Wednesday, March 23, 2022 at 1:24:44 PM UTC+6 Wang wrote:
>
>> Hello CASPER!
>>
>> How's it going?I am trying the tutorial of ROACH2.Now I'm using 
>> Ubuntu16.04、ise14.7、Matlab2013b and mlib_devel-roach2 
>> <https://github.com/casper-astro/mlib_devel/tree/roach2>.
>>
>> I have one question.Matlab prompts me with a warning like this:
>>
>> Warning: Possible deprecated use of get on a Java object with an HG 
>> Property 'UserData ' . 
>> >In xlNGCPostGeneration at 57
>>   In 
>> /opt/xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/xlruntargetfcn.p>xlruntargetfcn 
>> at 12
>>   In 
>> /opt/xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/xlGenerateButton.p>xlGenerateButton
>>   
>>   at 478
>>   In gen xps files at 332
>>   In casper xpszrun callback at 163
>>   In casper xps at 88
>>   In @(hobject,eventdata)casper_xps( ' run_Callback ' , hobject,eventdata 
>> , guidata(h0bject))
>> Warning: Possible deprecated use of set on a Java object with an HG 
>> Property 'UlserData' .
>> >In xlNGCPostGeneration at 60
>>   In /opt/xilinx/14.7/ISE_DS/ISE/sysgen 
>> /bin/lin64/xlruntargetfcn.p>xlruntargetfcn at 12
>>   In casper xpsz-run callback at 16s
>>   In casper xps at 88
>>   In @(hobject,eventdata)casper_xps( ' run_callback ' ,hobject, eventdata 
>> , guidata(hobject))
>> [image: 2022-03-22 21-50-57屏幕截图.png]
>> [image: 2022-03-22 21-51-10屏幕截图.png]
>>
>> How can I solve this problem?
>>
>> I would be really appreciated if you reply!  
>>
>> Wang
>>
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/c635d665-89d6-47f4-92c7-6f67638d95e9n%40lists.berkeley.edu.


Re: [casper] PAPER Correlater's Corner Turner Modes and MIRIAD Channel Mapping

2022-11-27 Thread Wang
Hi dan,

Thank you very much for telling me this.

I have a question: My current project consists of 96 dual-polarized 
antennas, so there are 192 signal inputs, and each F engine has 32 inputs. 
Therefore, I plan to use 6 ROACH2 (6 F-engines), but only 8,4,2,1 is given 
in CTMODE. Can I design the model of 6 F-engines by the same way?

Cheers,
Wang
在2022年11月27日星期日 UTC+8 04:29:19 写道:

>
>
> hi wang, 
>
> a bit more about the corner turner - 
> you probably know about this, but just in case:
>
> for an FX correlator,  there's an F engine for each antenna and each 
> polarization to break the time domain signal into frequency channels. 
> for large antenna arrays or large bandwidths, the correlation is too much 
> computation and too high a data rate for a single X engine, 
> so this real time correlation computation must be broken up into several X 
> engines (several CPU's, or GPU's, or FPGA's).   
>
> in an FX correlator, this computation is sliced up by frequency - 
> different sub-bands are sent to different X engines. 
> to compute the correlation on all the antennas, each X engine requires 
> data from all the antennas and polarizations, 
> but that X engine only needs data from a subset of the frequency channels. 
>
> so the job of the corner-turner/transpose/data-reorder is to take the full 
> bandwidth data from all the antennas, and break it up into subbands, 
> and then send each subband to a different Xengine. 
>
> best wishes,
>
> dan
>
>
>
> On Sat, Nov 26, 2022 at 12:01 AM Wang  wrote:
>
>> Thank you very much dan.
>> cheers,
>> Wang
>>
>>
>> 在2022年11月26日星期六 UTC+8 12:31:58 写道:
>>
>>>
>>>
>>> hi wang, 
>>>
>>> i can only answer two of your questions: 
>>>
>>> 1)  
>>> the "corner turner" is also called a "data re-order" or a "matrix 
>>> transpose".
>>> it's job is to change antenna ordered data to frequency ordered data. 
>>>
>>> 2) 
>>> "miriad" is an open source data reduction software package for radio 
>>> antenna arrays.
>>> e.g.  it does post processing convert the data from a correlator into a 
>>> spectral image. 
>>>
>>> best wishes,
>>>
>>> dan
>>>
>>>
>>> On Fri, Nov 25, 2022 at 9:37 AM Wang  wrote:
>>>
>>>> Hi all,
>>>>
>>>> I am studying the design of PAPER Correlator.
>>>> PAPER Correlator Netcfg - Casper (berkeley.edu) 
>>>> <https://casper.astro.berkeley.edu/wiki/PAPER_Correlator_Netcfg>
>>>> There are some questions about Corner Turner Mode(CTMODE) and MIRIAD 
>>>> Channel Mapping.
>>>>
>>>> 8 F engines(CTMODE):The 256 inputs by 1024 channels are presented to 
>>>> the X engines as exactly that.
>>>> 4 F engines(CTMODE):The 128 inputs by 1024 channels are presented to 
>>>> the X engines as 256 inputs by 512 channels.
>>>> ··
>>>> I know that each F engine has 32 inputs, so 8 for 256 and 4 for 128.
>>>> But in 'X engine' I don't understand the relationship between input and 
>>>> channel.
>>>>
>>>> And,I have a silly question, why is 'Corner Turner' called that?
>>>>
>>>> The last part is "MIRIAD Channel Mapping".  
>>>> I don't understand the meaning of the abbreviation (if it is an 
>>>> abbreviation).  
>>>> Can I get this part by typing the command line?
>>>>
>>>> I'd appreciate it if someone could help me with that!
>>>>
>>>> BW!
>>>> Wang
>>>>
>>>>
>>>>
>>>>
>>>>
>>>>
>>>>
>>>> -- 
>>>> You received this message because you are subscribed to the Google 
>>>> Groups "cas...@lists.berkeley.edu" group.
>>>> To unsubscribe from this group and stop receiving emails from it, send 
>>>> an email to casper+un...@lists.berkeley.edu.
>>>> To view this discussion on the web visit 
>>>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/966891fe-31ae-4280-a4e4-18a71f94a12cn%40lists.berkeley.edu
>>>>  
>>>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/966891fe-31ae-4280-a4e4-18a71f94a12cn%40lists.berkeley.edu?utm_medium=email_source=footer>
>>>> .
>>>>
>>>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/b432e507-f11d-4608-baff-d0999378165fn%40lists.berkeley.edu.


Re: [casper] PAPER Correlater's Corner Turner Modes and MIRIAD Channel Mapping

2022-11-26 Thread Wang
Thank you very much dan.
cheers,
Wang


在2022年11月26日星期六 UTC+8 12:31:58 写道:

>
>
> hi wang, 
>
> i can only answer two of your questions: 
>
> 1)  
> the "corner turner" is also called a "data re-order" or a "matrix 
> transpose".
> it's job is to change antenna ordered data to frequency ordered data. 
>
> 2) 
> "miriad" is an open source data reduction software package for radio 
> antenna arrays.
> e.g.  it does post processing convert the data from a correlator into a 
> spectral image. 
>
> best wishes,
>
> dan
>
>
> On Fri, Nov 25, 2022 at 9:37 AM Wang  wrote:
>
>> Hi all,
>>
>> I am studying the design of PAPER Correlator.
>> PAPER Correlator Netcfg - Casper (berkeley.edu) 
>> <https://casper.astro.berkeley.edu/wiki/PAPER_Correlator_Netcfg>
>> There are some questions about Corner Turner Mode(CTMODE) and MIRIAD 
>> Channel Mapping.
>>
>> 8 F engines(CTMODE):The 256 inputs by 1024 channels are presented to the 
>> X engines as exactly that.
>> 4 F engines(CTMODE):The 128 inputs by 1024 channels are presented to the 
>> X engines as 256 inputs by 512 channels.
>> ··
>> I know that each F engine has 32 inputs, so 8 for 256 and 4 for 128.
>> But in 'X engine' I don't understand the relationship between input and 
>> channel.
>>
>> And,I have a silly question, why is 'Corner Turner' called that?
>>
>> The last part is "MIRIAD Channel Mapping".  
>> I don't understand the meaning of the abbreviation (if it is an 
>> abbreviation).  
>> Can I get this part by typing the command line?
>>
>> I'd appreciate it if someone could help me with that!
>>
>> BW!
>> Wang
>>
>>
>>
>>
>>
>>
>>
>> -- 
>> You received this message because you are subscribed to the Google Groups 
>> "cas...@lists.berkeley.edu" group.
>> To unsubscribe from this group and stop receiving emails from it, send an 
>> email to casper+un...@lists.berkeley.edu.
>> To view this discussion on the web visit 
>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/966891fe-31ae-4280-a4e4-18a71f94a12cn%40lists.berkeley.edu
>>  
>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/966891fe-31ae-4280-a4e4-18a71f94a12cn%40lists.berkeley.edu?utm_medium=email_source=footer>
>> .
>>
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/7a4e76ca-4962-4d78-af88-57be616364ccn%40lists.berkeley.edu.


[casper] PAPER Correlater's Corner Turner Modes and MIRIAD Channel Mapping

2022-11-25 Thread Wang
Hi all,

I am studying the design of PAPER Correlator.
PAPER Correlator Netcfg - Casper (berkeley.edu) 
<https://casper.astro.berkeley.edu/wiki/PAPER_Correlator_Netcfg>
There are some questions about Corner Turner Mode(CTMODE) and MIRIAD 
Channel Mapping.

8 F engines(CTMODE):The 256 inputs by 1024 channels are presented to the X 
engines as exactly that.
4 F engines(CTMODE):The 128 inputs by 1024 channels are presented to the X 
engines as 256 inputs by 512 channels.
··
I know that each F engine has 32 inputs, so 8 for 256 and 4 for 128.
But in 'X engine' I don't understand the relationship between input and 
channel.

And,I have a silly question, why is 'Corner Turner' called that?

The last part is "MIRIAD Channel Mapping".  
I don't understand the meaning of the abbreviation (if it is an 
abbreviation).  
Can I get this part by typing the command line?

I'd appreciate it if someone could help me with that!

BW!
Wang







-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/966891fe-31ae-4280-a4e4-18a71f94a12cn%40lists.berkeley.edu.


Re: [casper] fft_biplex_real_2x Block

2022-11-10 Thread Wang

Hi everyone,
I solved the problem.


在2022年11月10日星期四 UTC+8 15:26:11 写道:

> Hi Andrew,
>
> Thanks for your advice.
> I first set to the mlib_devel version of the build model to view the 
> model. 
> Then set the correct parameters on my device.
>
> cheers
> Wang
>
> 在2022年11月10日星期四 UTC+8 14:55:59 写道:
>
>> Hi Wang
>>
>> It may be that the version of the toolflow used to create that model, is 
>> not the same as what is on your machine. You may want to drag a new block 
>> in from your library, and then set the parameters to the same as  the block 
>> in the model. There is also a script that will update the blocks in your 
>> model automatically - update_casper_blocks.m (I think).
>>
>> Regards
>> Andrew
>>
>> On Thu, Nov 10, 2022 at 8:41 AM Wang  wrote:
>>
>>> Hi Andrew,
>>>
>>> 在2022年11月10日星期四 UTC+8 14:09:34 写道:
>>>
>>>> Hi Wang
>>>>
>>>> It looks like there is something going wrong during the creation of the 
>>>> internal logic in the FFT.
>>>>
>>>> Could you give us the following information
>>>> 1. Which git repo and branch of mlib_devel are you using?
>>>>
>>>  
>>> I used mlib_devel-roach2, matlab2013b and ise14.7. 
>>> I'm sorry I can't remember where I got it. 
>>> It's probably GitHub - casper-astro/mlib_devel at roach2 
>>> <https://github.com/casper-astro/mlib_devel/tree/roach2> . 
>>>
>>> 2. What parameters did you change on the FFT?
>>>>
>>>  
>>> I'm directly copying someone else's FFT, so I didn't change the 
>>> parameters. 
>>> But the one I use is a model that refers to the FFT part of David's 
>>> PAPER correlator model. 
>>> roachfengine/fft_1024ch_core.mdl at master · david-macmahon/roachfengine 
>>> · GitHub 
>>> <https://github.com/david-macmahon/roachfengine/blob/master/fft_1024ch_core.mdl>
>>> You can also get it from the attachment.
>>>
>>> 3. What are the first error messages you see in Matlab?
>>>>
>>>  
>>> The message is:
>>> Simulink:Commands:ParamUnknown: biplex_core block (mask) does not have a 
>>> parameter named 'n_inputs'
>>> Backtrace 1: reuse_block:138
>>> Backtrace 2: fft_biplex_real_2x_init:231
>>> Backtrace 3: openmdl:13
>>> Backtrace 4: open:159
>>> Backtrace 5: uiopen:167
>>>
>>> I also encountered this error when I opened David's FFT file.
>>>
>>>>
>>>> Regards
>>>> Andrew
>>>>
>>>> On Thu, Nov 10, 2022 at 7:04 AM Wang  wrote:
>>>>
>>>>> Hi CASPER,
>>>>>
>>>>> I learned about  fft_biplex_real_2x block first.
>>>>>
>>>>> https://casper.astro.berkeley.edu/w/index.php?title=Fft_biplex_real_2x=150
>>>>>  
>>>>> <https://casper.astro.berkeley.edu/w/index.php?title=Fft_biplex_real_2x=15063>
>>>>> However, there are some questions:
>>>>>
>>>>> 1. I can't view the internal logic of biplex_core and bi_real_unscr_2x 
>>>>> blocks. Every time I double-click to view them, Matlab will continuously 
>>>>> report errors and keep repeating the cycle.
>>>>>
>>>>> 2.   fft_biplex_real_2x block I use in Pcore block link. However,  
>>>>> However, the internal ports of the fft block are not connected, such as 
>>>>> the 
>>>>> output ports of even_bussify and odd_bussify, and the input ports of 
>>>>> even_pol_debus and odd_pol_debus.
>>>>>
>>>>> BW,
>>>>> wang
>>>>>
>>>>> [image: fft_internal.jpg]
>>>>>
>>>>> -- 
>>>>> You received this message because you are subscribed to the Google 
>>>>> Groups "cas...@lists.berkeley.edu" group.
>>>>> To unsubscribe from this group and stop receiving emails from it, send 
>>>>> an email to casper+un...@lists.berkeley.edu.
>>>>> To view this discussion on the web visit 
>>>>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/612086b3-cb85-4851-892c-ce9b0b15207an%40lists.berkeley.edu
>>>>>  
>>>>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/612086b3-cb85-4851-892c-ce9b0b15207an%40lists.berkeley.edu?utm_medium=email_source=footer>
>>>>> .
>>>>>
>>>>

Re: [casper] fft_biplex_real_2x Block

2022-11-09 Thread Wang
Hi Andrew,

Thanks for your advice.
I first set to the mlib_devel version of the build model to view the model. 
Then set the correct parameters on my device.

cheers
Wang

在2022年11月10日星期四 UTC+8 14:55:59 写道:

> Hi Wang
>
> It may be that the version of the toolflow used to create that model, is 
> not the same as what is on your machine. You may want to drag a new block 
> in from your library, and then set the parameters to the same as  the block 
> in the model. There is also a script that will update the blocks in your 
> model automatically - update_casper_blocks.m (I think).
>
> Regards
> Andrew
>
> On Thu, Nov 10, 2022 at 8:41 AM Wang  wrote:
>
>> Hi Andrew,
>>
>> 在2022年11月10日星期四 UTC+8 14:09:34 写道:
>>
>>> Hi Wang
>>>
>>> It looks like there is something going wrong during the creation of the 
>>> internal logic in the FFT.
>>>
>>> Could you give us the following information
>>> 1. Which git repo and branch of mlib_devel are you using?
>>>
>>  
>> I used mlib_devel-roach2, matlab2013b and ise14.7. 
>> I'm sorry I can't remember where I got it. 
>> It's probably GitHub - casper-astro/mlib_devel at roach2 
>> <https://github.com/casper-astro/mlib_devel/tree/roach2> . 
>>
>> 2. What parameters did you change on the FFT?
>>>
>>  
>> I'm directly copying someone else's FFT, so I didn't change the 
>> parameters. 
>> But the one I use is a model that refers to the FFT part of David's PAPER 
>> correlator model. 
>> roachfengine/fft_1024ch_core.mdl at master · david-macmahon/roachfengine 
>> · GitHub 
>> <https://github.com/david-macmahon/roachfengine/blob/master/fft_1024ch_core.mdl>
>> You can also get it from the attachment.
>>
>> 3. What are the first error messages you see in Matlab?
>>>
>>  
>> The message is:
>> Simulink:Commands:ParamUnknown: biplex_core block (mask) does not have a 
>> parameter named 'n_inputs'
>> Backtrace 1: reuse_block:138
>> Backtrace 2: fft_biplex_real_2x_init:231
>> Backtrace 3: openmdl:13
>> Backtrace 4: open:159
>> Backtrace 5: uiopen:167
>>
>> I also encountered this error when I opened David's FFT file.
>>
>>>
>>> Regards
>>> Andrew
>>>
>>> On Thu, Nov 10, 2022 at 7:04 AM Wang  wrote:
>>>
>>>> Hi CASPER,
>>>>
>>>> I learned about  fft_biplex_real_2x block first.
>>>>
>>>> https://casper.astro.berkeley.edu/w/index.php?title=Fft_biplex_real_2x=150
>>>>  
>>>> <https://casper.astro.berkeley.edu/w/index.php?title=Fft_biplex_real_2x=15063>
>>>> However, there are some questions:
>>>>
>>>> 1. I can't view the internal logic of biplex_core and bi_real_unscr_2x 
>>>> blocks. Every time I double-click to view them, Matlab will continuously 
>>>> report errors and keep repeating the cycle.
>>>>
>>>> 2.   fft_biplex_real_2x block I use in Pcore block link. However,  
>>>> However, the internal ports of the fft block are not connected, such as 
>>>> the 
>>>> output ports of even_bussify and odd_bussify, and the input ports of 
>>>> even_pol_debus and odd_pol_debus.
>>>>
>>>> BW,
>>>> wang
>>>>
>>>> [image: fft_internal.jpg]
>>>>
>>>> -- 
>>>> You received this message because you are subscribed to the Google 
>>>> Groups "cas...@lists.berkeley.edu" group.
>>>> To unsubscribe from this group and stop receiving emails from it, send 
>>>> an email to casper+un...@lists.berkeley.edu.
>>>> To view this discussion on the web visit 
>>>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/612086b3-cb85-4851-892c-ce9b0b15207an%40lists.berkeley.edu
>>>>  
>>>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/612086b3-cb85-4851-892c-ce9b0b15207an%40lists.berkeley.edu?utm_medium=email_source=footer>
>>>> .
>>>>
>>>
>>>
>>> *Disclaimer*
>>>
>>> The information contained in this communication from the sender is 
>>> confidential. It is intended solely for use by the recipient and others 
>>> authorized to receive it. If you are not the recipient, you are hereby 
>>> notified that any disclosure, copying, distribution or taking action in 
>>> relation of the contents of this information is strictly prohibited and may 
>>> be unlawful.
>>>
>

[casper] Novice instance of Ruby interacting with ROACH

2022-10-11 Thread Wang
Hi CASPER,

I am about to write ruby code for a correlator.
I am new to ruby.And I do not know anybody who learns ruby.
I've been looking at ruby code for PAPER correlators for a while.
But I still have trouble writing code on my own.
Are there any examples of how Ruby interacts with ROACH that you can learn 
from?
Can you share your learning experience, if possible?
I would appreciate it very much if you would help me with it.

BW
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/93ba5699-a026-4092-a015-7304a96560b5n%40lists.berkeley.edu.


[casper] PAPER Correlator bulids gem files

2022-09-25 Thread Wang
Hi CASPER,

I am currently operating as described in the PAPER Correlator Manifest.
This is my first time using Ruby.
I get the source code by way of 'git clone 
http://astro.berkeley.edu/~davidm/rb-katcp.git' failure.Then I used 'wget' 
command to get it successfully.
Next I'm going to build a gem file.
Failed to build, prompting me with a message like this:

root@ubuntu:~/PAPER_Correlator_Manifest/rb-katcp# rake gem
rake aborted!
No Rakefile found (looking for: rakefile, Rakefile, rakefile.rb, 
Rakefile.rb)
(See full trace by running task with --trace)

There is no rakefile in the directory where I'm looking at the source code.

I also have a question, when I use the Ruby script to interact with the 
ROACH board, can I just run it as if it were a Python script?

Can someone help me?

BW!
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/3e6e7495-3b8f-47f5-af69-558340b1159an%40lists.berkeley.edu.


[casper] Re: Compilation issues related to 'rcs','PCORE' and 'gmake'

2022-09-07 Thread Wang
Hi all,

I found it amazing that I changed the mlib_devel directory, and the 
compilation succeeded. 
I used mlib_devel-roach2 before, but now I use the mlib_devel given by 
Lijian. 
I am not sure why I solved the previous error. 
I'll put it on my Github page, hoping to help people who also encounter 
this error.

BW,
Wang
在2022年9月6日星期二 UTC+8 21:42:58 写道:

> Hi CASPER,
>
> I copied the 'name_core.ngc' file into 
> mlib_devel-roach2/xps_base/XPS_ROACH2_base/pcores/.
> Then I commented out the code that prompts error.
> At this point, the 'name_core. ngc' file is found in the specified folder 
> during compilation.
> After a long time of compilation, this error finally appears:
>
> 
> ERROR: 1 constraint not met.
>
> PAR could not meet all timing constraints. A bitstream will not be 
> generated.
>
> To disable the PAR timing check:
>
> 1> Disable the "Treat timing closure failure as error" option from the 
> Project Options dialog in XPS.
>
> OR
>
> 2> Type following at the XPS prompt:
> XPS% xset enable_par_timing_error 0
>
> 
> gmake: *** [implementation/system.bit] Error 1
>
> ERROR:EDK -  
>Error while running "gmake -f system.make bits".
> : XPS failed.
> Backtrace 1: gen_xps_files:711
>
> Backtrace 2: run_Callback:163
> Backtrace 3: casper_xps:88
> Backtrace 4: 
> @(hObject,eventdata)casper_xps('run_Callback',hObject,eventdata,guidata(hObject)):0
>
> I checked the mail list and someone asked me the same question. Like the 
> questioner, I could not find the top_timing_summary_routed.rpt and 
> system_map.twr file mentioned by the respondent.
>
> Does anyone have experience in solving this problem?
> I really hope you can take the time to reply to me, I will be very 
> grateful.
>
> Regrads,
> Wang
>
>
>
> 在2022年9月5日星期一 UTC+8 12:49:20 写道:
>
>> Hi CASPER,
>>
>> First of all, I wish you a wonderful workshop this week.
>>
>> I used Matlab2013b,Ise14.7 and ROACH2.
>> I had some problems generating the bof file. Here is the compile time 
>> information.
>> This was my first time using rcs block, and I didn't understand the 
>> information about rcs that was prompted.
>> Some Warning pops up during compilation, but the compilation does not 
>> terminate. Until there was an error about PCORE, I tried to check the code, 
>> but it was still not solved.PCORE block double click can set the ngc file 
>> path, the ngc file I use is used in the PAPER correlator ngc file.
>>
>> >> casper_xps
>> Detected Linux OS
>> #
>> ##  System Update  ##
>> #
>> rcs_init: failure using 'cd 
>> /home/wz/Tianlai_F-engine_Gateware-master/CASPER/fengtest3/; git log -n 1 
>> --abbrev-commit roach2_fengine.mdl | grep commit' in Linux
>> rcs_init: Failed to get revision info for application from specified 
>> source, will use timestamp
>> rcs_init: failure using 'cd /home/wz/mlib_devel-roach2; git log -n 1 
>> --abbrev-commit  | grep commit' in Linux
>> rcs_init: Failed to get revision info for libraries from specified 
>> source, will use current time as timestamp
>> /opt/Xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/dot/dot.bin: error while 
>> loading shared libraries: libexpat.so.0: cannot open shared object file: No 
>> such file or directory
>> Error running Dot.
>> /opt/Xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/dot/dot.bin: error while 
>> loading shared libraries: libexpat.so.0: cannot open shared object file: No 
>> such file or directory
>> Error running Dot.
>> /opt/Xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/dot/dot.bin: error while 
>> loading shared libraries: libexpat.so.0: cannot open shared object file: No 
>> such file or directory
>> Error running Dot.
>> #
>> ## Block objects creation  ##
>> #
>> ##
>> ## Checking objects ##
>> ##
>> Running system generator ...
>> rcs_init: failure using 'cd 
>> /home/wz/Tianlai_F-engine_Gateware-master/CASPER/fengtest3/; git log -n 1 
>> --abbrev-commit roach2_fengine.mdl | grep commit' in Linux
>> rcs_init: Failed to get revision info for application from specified 
>> source, will use timestamp
>> rcs_init: failure using 'cd /home/wz/mlib_devel-roach2; git log -n 1 
>> --abbrev-commit  | grep commit' in Linux
>> rcs_init: Failed to get revision info for librar

[casper] Re: Compilation issues related to 'rcs','PCORE' and 'gmake'

2022-09-06 Thread Wang
Hi CASPER,

I copied the 'name_core.ngc' file into 
mlib_devel-roach2/xps_base/XPS_ROACH2_base/pcores/.
Then I commented out the code that prompts error.
At this point, the 'name_core. ngc' file is found in the specified folder 
during compilation.
After a long time of compilation, this error finally appears:

ERROR: 1 constraint not met.

PAR could not meet all timing constraints. A bitstream will not be 
generated.

To disable the PAR timing check:

1> Disable the "Treat timing closure failure as error" option from the 
Project Options dialog in XPS.

OR

2> Type following at the XPS prompt:
XPS% xset enable_par_timing_error 0

gmake: *** [implementation/system.bit] Error 1
ERROR:EDK -  
   Error while running "gmake -f system.make bits".
: XPS failed.
Backtrace 1: gen_xps_files:711
Backtrace 2: run_Callback:163
Backtrace 3: casper_xps:88
Backtrace 4: 
@(hObject,eventdata)casper_xps('run_Callback',hObject,eventdata,guidata(hObject)):0

I checked the mail list and someone asked me the same question. Like the 
questioner, I could not find the top_timing_summary_routed.rpt and 
system_map.twr file mentioned by the respondent.

Does anyone have experience in solving this problem?
I really hope you can take the time to reply to me, I will be very grateful.

Regrads,
Wang



在2022年9月5日星期一 UTC+8 12:49:20 写道:

> Hi CASPER,
>
> First of all, I wish you a wonderful workshop this week.
>
> I used Matlab2013b,Ise14.7 and ROACH2.
> I had some problems generating the bof file. Here is the compile time 
> information.
> This was my first time using rcs block, and I didn't understand the 
> information about rcs that was prompted.
> Some Warning pops up during compilation, but the compilation does not 
> terminate. Until there was an error about PCORE, I tried to check the code, 
> but it was still not solved.PCORE block double click can set the ngc file 
> path, the ngc file I use is used in the PAPER correlator ngc file.
>
> >> casper_xps
> Detected Linux OS
> #
> ##  System Update  ##
> #
> rcs_init: failure using 'cd 
> /home/wz/Tianlai_F-engine_Gateware-master/CASPER/fengtest3/; git log -n 1 
> --abbrev-commit roach2_fengine.mdl | grep commit' in Linux
> rcs_init: Failed to get revision info for application from specified 
> source, will use timestamp
> rcs_init: failure using 'cd /home/wz/mlib_devel-roach2; git log -n 1 
> --abbrev-commit  | grep commit' in Linux
> rcs_init: Failed to get revision info for libraries from specified source, 
> will use current time as timestamp
> /opt/Xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/dot/dot.bin: error while 
> loading shared libraries: libexpat.so.0: cannot open shared object file: No 
> such file or directory
> Error running Dot.
> /opt/Xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/dot/dot.bin: error while 
> loading shared libraries: libexpat.so.0: cannot open shared object file: No 
> such file or directory
> Error running Dot.
> /opt/Xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/dot/dot.bin: error while 
> loading shared libraries: libexpat.so.0: cannot open shared object file: No 
> such file or directory
> Error running Dot.
> #
> ## Block objects creation  ##
> #
> ##
> ## Checking objects ##
> ##
> Running system generator ...
> rcs_init: failure using 'cd 
> /home/wz/Tianlai_F-engine_Gateware-master/CASPER/fengtest3/; git log -n 1 
> --abbrev-commit roach2_fengine.mdl | grep commit' in Linux
> rcs_init: Failed to get revision info for application from specified 
> source, will use timestamp
> rcs_init: failure using 'cd /home/wz/mlib_devel-roach2; git log -n 1 
> --abbrev-commit  | grep commit' in Linux
> rcs_init: Failed to get revision info for libraries from specified source, 
> will use current time as timestamp
> /opt/Xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/dot/dot.bin: error while 
> loading shared libraries: libexpat.so.0: cannot open shared object file: No 
> such file or directory
> Error running Dot.
> /opt/Xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/dot/dot.bin: error while 
> loading shared libraries: libexpat.so.0: cannot open shared object file: No 
> such file or directory
> Error running Dot.
> /opt/Xilinx/14.7/ISE_DS/ISE/sysgen/bin/lin64/dot/dot.bin: error while 
> loading shared libraries: libexpat.so.0: cannot open shared object file: No 
> such file or directory
> Error running Dot.
> Warning: Possible deprecated use of get on a Java object with an HG 
> Property 'UserData'. 
> > In xlNGC

[casper] Compilation issues related to 'rcs','PCORE' and 'gmake'

2022-09-04 Thread Wang
e name, or 
the
   misspelling of a type name. Symbol 'transpose_core' is not supported in
   target 'virtex6'.
Writing NGDBUILD log file "system.bld"...
ERROR:Xflow - Program ngdbuild returned error code 2. Aborting flow 
execution...
gmake: *** [__xps/system_routed] Error 1
ERROR:EDK -  
   Error while running "gmake -f system.make bits".
: XPS failed.
For the error related to 'gmake', I have checked the official website(FAQ - 
Casper (berkeley.edu) 
<https://casper.astro.berkeley.edu/wiki/FAQ#Q:_Why_do_i_get_permissions_errors_when_i_run_.22make_bits.22_from_the_xps_prompt.3F>)
 
and found a similar solution, but the problem on the website is file 
permission, so my error is not. Meanwhile, I confirm that I have set 'sudo 
lN-s make gmake'.

Could someone help me answer these questions? I would be very grateful!

BW,
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/8e30838a-3e9c-45c1-99f0-6aa91a8d98e6n%40lists.berkeley.edu.


Re: [casper] Upgrade the MATLAB version of mlib_devel

2022-08-23 Thread Wang
Hi  Mathews Morag,

Thank you very much for your reply!

Regards,
Wang

在2022年8月23日星期二 UTC+8 15:43:52 写道:

> Hi Wang,
>
> Depending on what OS version you're using, you may also run into a few 
> library incompatibility issues and missing dependencies for later versions 
> of Matlab. I think getting the toolflow working with later versions of 
> things has been fairly well documented in the mailing list at this point, 
> so please give the mailing list archive 
> <https://www.mail-archive.com/casper@lists.berkeley.edu/> a browse if you 
> run into any issues. There is also a R2021a branch of *mlib_devel* 
> available here <https://github.com/casper-astro/mlib_devel/tree/m2021a>, 
> that Jonathon Kocz recently pushed to casper-astro. 
>
> Also note that R2021 doesn't work with the Vivado 2019.1 System Generator 
> tools (as far as I can remember), so you'll need to install a later version 
> of Vivado (probably one that uses Model Composer rather than System Gen - 
> MC is really just Sys Gen wrapped up alongside Xilinx's HLS stuff) and edit 
> the paths of your startsg.local file accordingly. 
>
> There is also an issue where loading the casper libraries in the 
> *startup.m* script for R2021a can cause a seg fault - the current 
> workaround for this is to rename the *startup.m* file to something like 
> *startup.m.tmp* so it doesn't run when Matlab starts, and then manually 
> run this script once Matlab has opened.
>
> We do plan on upgrading the tools to later versions officially soon, but 
> hopefully this will get you going in the interim.
>
> Morag
>
> On Tue, Aug 23, 2022 at 9:17 AM Mathews Chirindo  
> wrote:
>
>> Hi Wang
>>
>> You can install Matlab R2021a alongside R2019a (if you don't want to 
>> uninstall it). You will then need to edit your MATLAB_PATH in 
>> startsg.local.x (in mlib_devel dir) to point to your new Matlab version.
>>
>> I hope that helps.
>>
>> Regards
>> Mathews 
>>
>>
>>
>>
>>
>>
>> On Tue, Aug 23, 2022 at 7:40 AM Wang  wrote:
>>
>>> Hi everyone,
>>>
>>> Now, I want to upgrade my mlib_devel to a new version Matlab.
>>> Upgrade from Matlab2019a to Matlab2021a.
>>> How should I operate it?
>>>
>>> BW,
>>> Wang
>>>
>>> -- 
>>> You received this message because you are subscribed to the Google 
>>> Groups "cas...@lists.berkeley.edu" group.
>>> To unsubscribe from this group and stop receiving emails from it, send 
>>> an email to casper+un...@lists.berkeley.edu.
>>> To view this discussion on the web visit 
>>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/6db9a343-8ba0-48de-8a13-4f1446708973n%40lists.berkeley.edu
>>>  
>>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/6db9a343-8ba0-48de-8a13-4f1446708973n%40lists.berkeley.edu?utm_medium=email_source=footer>
>>> .
>>>
>> -- 
>> You received this message because you are subscribed to the Google Groups 
>> "cas...@lists.berkeley.edu" group.
>> To unsubscribe from this group and stop receiving emails from it, send an 
>> email to casper+un...@lists.berkeley.edu.
>>
> To view this discussion on the web visit 
>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/CADK_Hq12iR64VWot%3D_0PHzhmQX79tn9jNBTt__%3DE8Hbx750JgQ%40mail.gmail.com
>>  
>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/CADK_Hq12iR64VWot%3D_0PHzhmQX79tn9jNBTt__%3DE8Hbx750JgQ%40mail.gmail.com?utm_medium=email_source=footer>
>> .
>>
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/b500ae88-168e-4cf8-830d-1f2fc428737fn%40lists.berkeley.edu.


[casper] Upgrade the MATLAB version of mlib_devel

2022-08-22 Thread Wang
Hi everyone,

Now, I want to upgrade my mlib_devel to a new version Matlab.
Upgrade from Matlab2019a to Matlab2021a.
How should I operate it?

BW,
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/6db9a343-8ba0-48de-8a13-4f1446708973n%40lists.berkeley.edu.


Re: [casper] Copy .fpg file to ROACH2 server

2022-08-01 Thread Wang
Hi Michael,

Thank you very much!The explanation is very detailed. I understand what you 
mean.

Another question, could you please help me to look at the second picture of 
the first email, the user login at the end, is this normal? Have you ever 
encountered such a situation?

Best,
Wang
在2022年8月2日星期二 UTC+8 00:44:00 写道:

> Wang,
>
> upload_to_ram_and_program() is the casperfpga function for programming the 
> FPGA without having to copy it over to the board first. Perhaps take a look 
> at the casperfpga.py file that should live in your python libraries folder; 
> there are alternatives to this function but I'm not sure what they are, off 
> the top of my head.
> I'm afraid it's been many years since I've used corr and don't have any 
> advice to offer.
> However, looking again at your screenshots I don't understand the 
> directory into which you're trying to scp the fpg file? Instead of having 
> scp [file.fpg] root@[IP]:[file.fpg] I think it should instead be scp 
> [file.fpg] root@[IP]:/dir/[file.fpg] where [dir] might be /root/ or /usr/ 
> or something. I'm not surprised it's complaining if you're trying to copy 
> it straight into /
>
> Best,
> Michael
> --
> *From:* cas...@lists.berkeley.edu  on behalf 
> of 王钊 
> *Sent:* 01 August 2022 16:57
> *To:* cas...@lists.berkeley.edu 
> *Subject:* Re: [casper] Copy .fpg file to ROACH2 server 
>  
> Hi Michael,
>
> I can't use the casperfpga function.Now I am using  Corr.
>
> I don't quite understand what you mean by upload_to_ram_and_program().
>
> Best,
> Wang
>
>
>
> Michael D'Cruze  于 2022年8月1日周一 
> 下午11:39写道:
>
> Hello Wang,
>
> Can't you just use the casperfpga function for this? I think it's 
> upload_to_ram_and_program(), or something like that.
>
> Best,
> Michael
> --
> *From:* cas...@lists.berkeley.edu  on behalf 
> of Wang 
> *Sent:* 01 August 2022 16:35
> *To:* cas...@lists.berkeley.edu 
> *Subject:* [casper] Copy .fpg file to ROACH2 server 
>  
> Hi CASPER, 
>
> I'm having some problems copying files to server: 'read-only files system'.
>
> I suspect there is a problem with my ROACH‘s startup configuration file. 
> After netboot,  prompted '*read-only*' when the user logs in. 
> Have you ever been in this situation?
> picture1:copy file to server
> picture2:netboot login
> [image: scp1.png]
> [image: netboot_3.png]
>
> I would appreciate it very much if you would help me with it.
>
> BW!
> Wang
>
> -- 
> You received this message because you are subscribed to the Google Groups "
> cas...@lists.berkeley.edu" group.
> To unsubscribe from this group and stop receiving emails from it, send an 
> email to casper+un...@lists.berkeley.edu.
> To view this discussion on the web visit 
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/e1f67c22-d567-4945-8f36-1702e27ccb47n%40lists.berkeley.edu
>  
> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/e1f67c22-d567-4945-8f36-1702e27ccb47n%40lists.berkeley.edu?utm_medium=email_source=footer>
> .
>
> -- 
> You received this message because you are subscribed to the Google Groups "
> cas...@lists.berkeley.edu" group.
> To unsubscribe from this group and stop receiving emails from it, send an 
> email to casper+un...@lists.berkeley.edu.
> To view this discussion on the web visit 
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/LO4P265MB6154B8B9C331E946601625F78A9A9%40LO4P265MB6154.GBRP265.PROD.OUTLOOK.COM
>  
> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/LO4P265MB6154B8B9C331E946601625F78A9A9%40LO4P265MB6154.GBRP265.PROD.OUTLOOK.COM?utm_medium=email_source=footer>
> .
>
> -- 
> You received this message because you are subscribed to the Google Groups "
> cas...@lists.berkeley.edu" group.
> To unsubscribe from this group and stop receiving emails from it, send an 
> email to casper+un...@lists.berkeley.edu.
> To view this discussion on the web visit 
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/CAEq%3DE3FVTrQsyHp0Ke5oCjy3oRmNMkc-Ys02xdy5vQ_74TC8OQ%40mail.gmail.com
>  
> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/CAEq%3DE3FVTrQsyHp0Ke5oCjy3oRmNMkc-Ys02xdy5vQ_74TC8OQ%40mail.gmail.com?utm_medium=email_source=footer>
> .
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/e0deae43-028e-4a98-a4b8-47a1c778f114n%40lists.berkeley.edu.


[casper] Problem with roach2 setup

2022-07-29 Thread Wang
Hi all, 

Thanks for your care!A few days latter I met a trouble that one of roach2 
board doesn't work stable.

When I setup it with minicom ,it shows the message like this:
ENET Speed is 100 Mbps - FULL duplex connection (EMAC0) 
BOOTP broadcast 1 
*** Unhandled DHCP Option in OFFER/ACK: 28 
*** Unhandled DHCP Option in OFFER/ACK: 28 
DHCP client bound to address 192.168.100.5
 Using ppc_4xx_eth0 device TFTP from server 192.168.100.100; our IP address 
is 192.168.100.5 
Filename 'uImage'. 
Load address: 0x400 
Loading: T T T T T T T T T T 
Retry count exceeded; starting again 

It tells the loading problem,and star again and again . 
Has anyone met this before? 

Best Regards!

Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/313cd5a1-e69e-483e-9997-777be7120a3dn%40lists.berkeley.edu.


[casper] ROACH account login issue

2022-07-13 Thread Wang
r version 0.4 loaded (major 253)
io scheduler noop registered
io scheduler deadline registered
io scheduler cfq registered (default)
Serial: 8250/16550 driver, 32 ports, IRQ sharing enabled
serial8250.0: ttyS0 at MMIO 0x1ef600300 (irq = 16) is a 16550A
console [ttyS0] enabled, bootconsole disabled
console [ttyS0] enabled, bootconsole disabled
serial8250.0: ttyS1 at MMIO 0x1ef600400 (irq = 17) is a 16550A
roach2_fpga: ROACH2 FPGA Access driver
roach2:  major 252Virtex 5 family
roach2_fpga 1d000.fpga: ROACH2 FPGA driver
roach2_fpga 1d000.fpga: check parameters,addr:0x1d000,size:0x400
roach2_fpga 1d000.fpga: ioremap 1d000 to f108 with size 400
roach2_fpga 1d000.fpga: ioremap 1c010 to f510 with size 20
roach2_fpga 1d000.fpga: char devices successfully registered
brd: module loaded
1f800.nor_flash: Found 1 x16 devices at 0x0 in 16-bit bank. 
Manufacturer ID 0x01 Chip ID 01
Amd/Fujitsu Extended Query Table at 0x0040
  Amd/Fujitsu Extended Query version 1.3.
number of CFI chips: 1
6 ofpart partitions found on MTD device 1f800.nor_flash
Creating 6 MTD partitions on "1f800.nor_flash":
0x-0x0040 : "kernel"
0x0040-0x0440 : "rootfs"
0x0440-0x0740 : "userfs"
0x0740-0x07f0 : "v6bitstream"
0x07f4-0x07f8 : "ubootenv"
0x07f8-0x0800 : "uboot"
tun: Universal TUN/TAP device driver, 1.6
tun: (C) 1999-2004 Max Krasnyansky 
PPC 4xx OCP EMAC driver, version 3.54
MAL v2 /plb/mcmal, 2 TX channels, 2 RX channels
ZMII /plb/opb/emac-zmii@ef600d00 initialized
RGMII /plb/opb/emac-rgmii@ef601000 initialized with MDIO support
/plb/opb/emac-rgmii@ef601000: input 0 in RGMII mode
eth0: EMAC-0 /plb/opb/ethernet@ef600e00, MAC 02:44:01:02:08:31
eth0: found Marvell 88E Ethernet PHY (0x01)
PPP generic driver version 2.4.2
mousedev: PS/2 mouse device common for all mice
input: roach2chassis as /devices/virtual/input/input0
ibm-iic 1ef600700.i2c: using standard (100 kHz) mode
ibm-iic 1ef600800.i2c: using standard (100 kHz) mode
ad7414 0-004c: chip found
ad7414 0-004e: chip found
max6650 0-0048: Fan voltage is set to 12V.
max6650 0-0048: Prescaler is set to 4.
max6650 0-004b: Fan voltage is set to 12V.
max6650 0-004b: Prescaler is set to 4.
max6650 0-001b: Fan voltage is set to 12V.
max6650 0-001b: Prescaler is set to 4.
max6650 0-001f: Fan voltage is set to 12V.
max6650 0-001f: Prescaler is set to 4.
cpuidle: using governor ladder
cpuidle: using governor menu
IPv4 over IPv4 tunneling driver
TCP: cubic registered
NET: Registered protocol family 17
Key type dns_resolver registered
drivers/rtc/hctosys.c: unable to open rtc device (rtc0)
### of_selftest(): No testcase data in device tree; not running tests
eth0: link is down
eth0: link is up, 100 FDX
Sending DHCP requests ., OK
IP-Config: Got DHCP answer from 192.168.100.1, my address is 192.168.100.2
IP-Config: Complete:
 device=eth0, addr=192.168.100.2, mask=255.255.255.0, gw=192.168.100.1
 host=192.168.100.2, domain=, nis-domain=(none)
 bootserver=192.168.100.1, rootserver=192.168.100.1, 
rootpath=/srv/roach_boot/etch
 nameserver0=192.168.100.1VFS: Mounted root (nfs filesystem) readonly 
on device 0:11.
Freeing unused kernel memory: 144k freed
modprobe: FATAL: Could not load /lib/modules/3.7.0-rc2+/modules.dep: No 
such file or directory

modprobe: FATAL: Could not load /lib/modules/3.7.0-rc2+/modules.dep: No 
such file or directory

modprobe: FATAL: Could not load /lib/modules/3.7.0-rc2+/modules.dep: No 
such file or directory

INIT: version 2.88 booting
about to run simple init script
warning: can't open /etc/mtab: No such file or directory
mount: mount point /proc/bus/usb does not exist
INIT: Entering runlevel: 2
modprobe: FATAL: Could not load /lib/modules/3.7.0-rc2+/modules.dep: No 
such file or directory

 1 Jan 00:00:12 ntpdate[452]: no server suitable for synchronization found
Starting OpenBSD Secure Shell server: sshdmodprobe: FATAL: Could not load 
/lib/modules/3.7.0-rc2+/y

modprobe: FATAL: Could not load /lib/modules/3.7.0-rc2+/modules.dep: No 
such file or directory

.
Starting NFS common utilities: statd failed!
Starting NTP server: ntpdmodprobe: FATAL: Could not load 
/lib/modules/3.7.0-rc2+/modules.dep: No sy

.
tcpborphserver: ... loading roach mmap driver ... 
insmod: can't read '/lib/modules/roach_mmap.ko': No such file or directory
version *3* using mmap
tcpborphserver3: about to go into background

Debian GNU/Linux 6.0 192.168.100.2 ttyS0

192.168.100.2 login: root
Unable to change owner or mode of tty stdin: Read-only file 
systemroot@192:~# 

BW
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/55b47c39-ba80-4e6a-a556-323484389450n%40lists.berkeley.edu.


Re: [casper] ROACH's filesystem

2022-06-30 Thread Wang
Hi Jonathon,

I didn't express myself clearly before. I will look for 'filesystem' in the 
document you sent.

Thank you Jonathon.
Wang

在2022年7月1日星期五 UTC+8 01:46:40 写道:

> Hi Wang,
>
> Sorry, I see now you were talking about the specific kernel file - not the 
> website.
>
> For ROACH files, I'm not sure where they are all still available, but at 
> least some of those linked files are located here: 
> https://github.com/casper-astro/roach2_nfs_uboot/tree/master/tftpboot/uboot-roach1
>
> Best,
> Jonathon
>
>
>
>
>
>
> On Thu, 30 Jun 2022 at 10:33, Jonathon Kocz  wrote:
>
>> Hi Wang,
>>
>> I think as Jack said earlier, all of the links are valid and work, 
>> however the website security certificate has expired, which gives an error. 
>> We are looking into fixing it.
>>
>> Best,
>> Jonathon 
>>
>> On Thu, 30 Jun 2022 at 10:19, Wang  wrote:
>>
>>> Hi CASPER,
>>>
>>> How‘s it going?I'm having some problems starting ROACH2 on the netboot.
>>>
>>> I have finished setting the kernel. When setting the system file, I 
>>> found that the link was invalid. Could you send me a copy?
>>>
>>> ROACH NFS guide - Casper (berkeley.edu) 
>>> <https://casper.astro.berkeley.edu/wiki/ROACH_NFS_guide>
>>> [image: etch.png]
>>>
>>> I would be really appreciated if you reply!  
>>>
>>> Thanks!
>>> Wang
>>>
>>> -- 
>>> You received this message because you are subscribed to the Google 
>>> Groups "cas...@lists.berkeley.edu" group.
>>> To unsubscribe from this group and stop receiving emails from it, send 
>>> an email to casper+un...@lists.berkeley.edu.
>>> To view this discussion on the web visit 
>>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/1bb440a7-1c63-4efa-b168-308deb8223a4n%40lists.berkeley.edu
>>>  
>>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/1bb440a7-1c63-4efa-b168-308deb8223a4n%40lists.berkeley.edu?utm_medium=email_source=footer>
>>> .
>>>
>>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/a435b075-766e-4ea6-82c8-928c749da13dn%40lists.berkeley.edu.


[casper] ROACH's filesystem

2022-06-30 Thread Wang
Hi CASPER,

How‘s it going?I'm having some problems starting ROACH2 on the netboot.

I have finished setting the kernel. When setting the system file, I found 
that the link was invalid. Could you send me a copy?

ROACH NFS guide - Casper (berkeley.edu) 
<https://casper.astro.berkeley.edu/wiki/ROACH_NFS_guide>
[image: etch.png]

I would be really appreciated if you reply!  

Thanks!
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/1bb440a7-1c63-4efa-b168-308deb8223a4n%40lists.berkeley.edu.


Re: [casper] The link of " Setting Up BORPH on BEE2 " is invalid

2022-06-30 Thread Wang
Thank you jack!

在2022年6月30日星期四 UTC+8 23:23:52 写道:

> HI Wang,
>
> That link works, you'll just have to click through to ignore the expired 
> https certificate :-S
>
> Could someone at Berkeley generate a new certificate :) ?
>
> J
>
> On Thu, 30 Jun 2022 at 05:06, Wang  wrote:
>
>> Hi CASPER,
>>
>> I want to see how we can set BORPH on ROACH.
>>
>> BORPH - Casper (berkeley.edu) 
>> <https://casper.astro.berkeley.edu/wiki/BORPH>
>>
>> Can you update the wiki link?
>>
>> BW
>> Wang
>>
>> -- 
>> You received this message because you are subscribed to the Google Groups 
>> "cas...@lists.berkeley.edu" group.
>> To unsubscribe from this group and stop receiving emails from it, send an 
>> email to casper+un...@lists.berkeley.edu.
>> To view this discussion on the web visit 
>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/ed983ce4-feef-49a1-bd96-c2d161ecf79cn%40lists.berkeley.edu
>>  
>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/ed983ce4-feef-49a1-bd96-c2d161ecf79cn%40lists.berkeley.edu?utm_medium=email_source=footer>
>> .
>>
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/49b3ca4b-bbc1-4849-8b77-6cf1ad5d56afn%40lists.berkeley.edu.


[casper] Re: The link of " Setting Up BORPH on BEE2 " is invalid

2022-06-30 Thread Wang
I have sent another email, could you help me delete it?

在2022年6月30日星期四 UTC+8 12:05:53 写道:

> Hi CASPER,
>
> I want to see how we can set BORPH on ROACH.
>
> BORPH - Casper (berkeley.edu) 
> <https://casper.astro.berkeley.edu/wiki/BORPH>
>
> Can you update the wiki link?
>
> BW
> Wang
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/c3b5169a-2e5d-4fac-b026-ee217eee8e49n%40lists.berkeley.edu.


[casper] The link of " Setting Up BORPH on BEE2 " is invalid

2022-06-29 Thread Wang
Hi CASPER,

I want to see how we can set BORPH on ROACH.

BORPH - Casper (berkeley.edu) <https://casper.astro.berkeley.edu/wiki/BORPH>

Can you update the wiki link?

BW
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/b72e12a2-b3b7-441d-9eb3-4479a2afbd0cn%40lists.berkeley.edu.


Re: [casper] PAPER Correlator EQ Settings

2022-06-24 Thread Wang
Thank you very much Micheal! 

cheers

在2022年6月24日星期五 UTC+8 22:40:37 写道:

> Hi Wang,
>
> The memos are on github now. See: 
> https://github.com/casper-astro/publications/blob/master/Memos/files/p011.quant.pdf
>
> BW
>
>
> --
> *From:* cas...@lists.berkeley.edu  on behalf 
> of Wang 
> *Sent:* 24 June 2022 15:32
> *To:* cas...@lists.berkeley.edu 
> *Subject:* [casper] PAPER Correlator EQ Settings 
>  
> Hi CASPER, 
>
> I am learning the PAPER correlator and there is a document link for EQ 
> setting and I find I can't open it.
>
>  https://casper.astro.berkeley.edu/wiki/PAPER_Correlator_EQ
>
> [image: EQ settings.png]
> I hope you can send me a copy of this document.
>
> BW
> Wang
>
> -- 
> You received this message because you are subscribed to the Google Groups "
> cas...@lists.berkeley.edu" group.
> To unsubscribe from this group and stop receiving emails from it, send an 
> email to casper+un...@lists.berkeley.edu.
> To view this discussion on the web visit 
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/09db20fc-051b-4dc5-b455-7e52ff4176afn%40lists.berkeley.edu
>  
> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/09db20fc-051b-4dc5-b455-7e52ff4176afn%40lists.berkeley.edu?utm_medium=email_source=footer>
> .
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/89c40d55-aab1-4360-98ef-d1cc6744960cn%40lists.berkeley.edu.


[casper] PAPER Correlator EQ Settings

2022-06-24 Thread Wang
Hi CASPER,

I am learning the PAPER correlator and there is a document link for EQ 
setting and I find I can't open it.

 https://casper.astro.berkeley.edu/wiki/PAPER_Correlator_EQ

[image: EQ settings.png]
I hope you can send me a copy of this document.

BW
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/09db20fc-051b-4dc5-b455-7e52ff4176afn%40lists.berkeley.edu.


Re: [casper] ROACH2 to implement F-engine

2022-06-24 Thread Wang
Hi andrew,

Thank you very much!

BW
Wang


在2022年6月22日星期三 UTC+8 14:17:20 写道:

> Hi Wang
>
> Some would say that it might be easier to buy a newer hardware platform, 
> as this would be supported by the latest tools, software versions etc. You 
> should maybe try build something simple on the ROACH2 I assume you have, 
> and decide for yourself.
>
> You should check out which versions of software and toolflow you will need 
> at https://casper-toolflow.readthedocs.io/en/latest/.
>
> There are some useful tutorials that you can use as a start at 
> https://casper-toolflow.readthedocs.io/projects/tutorials/en/latest/. The 
> correlator tutorial might be especially useful as it includes your data 
> pipeline up to just before the transpose.
>
> The tutorial documentation mentions some of the things you will need to 
> think about. I would advise getting something basic working as soon as 
> possible, including software etc, and then use this to learn so that your 
> next system is better.
>
> Regards
> Andrew
>
> On Tue, Jun 21, 2022 at 10:51 AM Wang  wrote:
>
>> Hi everyone,
>>
>> I plan to implement f-engine with ROACH2.
>>
>> F-engine implements the following functions: analog to digital converter, 
>> fast Fourier transform, channel equalizer, transpose, network transmission.
>>
>> Is there any relevant web page that I need to know in advance?
>>
>> What should I pay attention to when implementing these functions?
>>
>> Thanks
>> Wang
>>
>> -- 
>> You received this message because you are subscribed to the Google Groups 
>> "cas...@lists.berkeley.edu" group.
>> To unsubscribe from this group and stop receiving emails from it, send an 
>> email to casper+un...@lists.berkeley.edu.
>> To view this discussion on the web visit 
>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/d24cceb7-d16c-40d2-83da-a1269d7656ebn%40lists.berkeley.edu
>>  
>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/d24cceb7-d16c-40d2-83da-a1269d7656ebn%40lists.berkeley.edu?utm_medium=email_source=footer>
>> .
>>
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/7b10ee11-9592-4ee5-860d-7458a790e8f5n%40lists.berkeley.edu.


[casper] ROACH2 to implement F-engine

2022-06-21 Thread Wang
Hi everyone,

I plan to implement f-engine with ROACH2.

F-engine implements the following functions: analog to digital converter, 
fast Fourier transform, channel equalizer, transpose, network transmission.

Is there any relevant web page that I need to know in advance?

What should I pay attention to when implementing these functions?

Thanks
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/d24cceb7-d16c-40d2-83da-a1269d7656ebn%40lists.berkeley.edu.


[casper] Used to operate the ROACH2 operating system

2022-06-12 Thread Wang
Hello CASPER,

How's it going?I am currently using ROACH2.

However, there are always some problems when booting up, and I want to try 
another Linux system.

I tried installing CentOS6.5 and Fedora23, but my computer couldn't install 
it due to hardware problems. When I used Ubuntu14.04, my computer was very 
slow, which affected my operation.

What version of Linux system can you recommend?

Thanks.

Regards.

Wang


-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/86e7ec24-1a8c-4965-b8e4-94f2b64b7ee0n%40lists.berkeley.edu.


Re: [casper] An error occurs when 'exportfs -a' is entered

2022-06-09 Thread Wang
Hi Marc,

[image: rpcinfo-p.png]
Thank you very much !
BW
Wang
在2022年6月9日星期四 UTC+8 17:14:47 写道:

>
>
> Hi
>
> It could be that NFS isn't registered with the portmapper, or the 
> portmapper isn't running. 
>
> $ rpcinfo -p localhost
>
> should normally print a list of services available. If they are not, 
> investigate your startup routines to make sure that portmapper, nfsd and 
> related services are actually being launched.
>
> regards
>
> marc
>
> On Thu, Jun 9, 2022 at 8:52 AM 王钊  wrote:
>
>> Hi Marc,
>> [image: error.png]
>>
>> Thanks for your reply.
>> Wang
>>
>> Marc  于2022年6月8日周三 23:14写道:
>>
>>> Hello
>>>
>>> > sandang@wz-sandang:/etc$ sudo exportfs -a
>>> > exportfs: No options for to NFS: suggest NFS(sync) to avoid warning
>>> > exportfs: /etc/exports [1]: Neither 'subtree_check' or 
>>> 'no_subtree_check' specified for
>>> > export "NFS:to".
>>> > Assuming default behaviour ('no_subtree_check').
>>> > NOTE: this default has changed since nfs-utils version 1.0.x
>>>
>>> What does
>>>
>>>   $ sudo showmount -e
>>>
>>> say ? If there is nothing exported there might be some syntax error in
>>> the config file. I know some editors add usual unicode space
>>> characters to the files they write, which might not be something that
>>> config file parser knows about
>>>
>>> regards
>>>
>>> marc
>>>
>>> -- 
>>> https://katfs.kat.ac.za/~marc/
>>>
>>> -- 
>>> You received this message because you are subscribed to the Google 
>>> Groups "cas...@lists.berkeley.edu" group.
>>> To unsubscribe from this group and stop receiving emails from it, send 
>>> an email to casper+un...@lists.berkeley.edu.
>>> To view this discussion on the web visit 
>>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/CAGrhWaQ91i7hKNNKbVu1DsydTaNXgpQuiO%2BZsvGkS8AibbmT1Q%40mail.gmail.com
>>> .
>>>
>> -- 
>> You received this message because you are subscribed to the Google Groups 
>> "cas...@lists.berkeley.edu" group.
>> To unsubscribe from this group and stop receiving emails from it, send an 
>> email to casper+un...@lists.berkeley.edu.
>>
> To view this discussion on the web visit 
>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/CAEq%3DE3FjACMLrZEG%3DxKWzHhvc8ie8yhEVWwS0N9Jb2Xzd%3DHg6Q%40mail.gmail.com
>>  
>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/CAEq%3DE3FjACMLrZEG%3DxKWzHhvc8ie8yhEVWwS0N9Jb2Xzd%3DHg6Q%40mail.gmail.com?utm_medium=email_source=footer>
>> .
>>
>
>
> -- 
> https://katfs.kat.ac.za/~marc/
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/48fa6bc0-886e-410e-bfd1-e558632d78dan%40lists.berkeley.edu.


[casper] An error occurs when 'exportfs -a' is entered

2022-06-08 Thread Wang
Hi CASPER,
  How's it going? I'm using ubuntu14.04.
  I had some problems setting up the ROACH netboot.

   - First, sudo apt-get install nfs-kernel-server nfs-common.
   - Second, sudo gedit /etc/exports,and add the lines:

   # Share 'roach_boot' directory/srv/roach_boot  
 192.168.100.0/24(rw,subtree_check,no_root_squash,insecure)

   - The last, exportfs -a
   -  At this time, the terminal displays:

sandang@wz-sandang:/etc$ sudo exportfs -a
exportfs: No options for to NFS: suggest NFS(sync) to avoid warning 
exportfs: /etc/exports [1]: Neither 'subtree_check' or 'no_subtree_check' 
specified for
export "NFS:to".
Assuming default behaviour ('no_subtree_check').
NOTE: this default has changed since nfs-utils version 1.0.x 

 May I ask what are the reasons for these?
 I would be really appreciated if you reply!  
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/dbe7c801-e9a4-4967-b1aa-678bdf71aa83n%40lists.berkeley.edu.


[casper] Some problems with ROACH2

2022-05-06 Thread Wang
Hello Casperities,

How's it going? I now want to copy the .fpg file to the server and connect 
to ROACH2's server.I have generated the.fpg file.

Here's how I did it. 
First,plug in the power cord of ROACH2, and connect the PC with the "PPC 
NET" of ROACH2 with one cable. 

Second I type vncviewer on the terminal.Next, I enter 192.168.1.100 on the 
VNC screen.At this point my network is disconnected, VNC prompt me 
connection timeout.

Is there any problem with my operation?

The last question is whether the computer should be connected to ROACH2 
through katcp. How should it be connected? Is it ok to install katcp, and 
then it will be automatically connected?

I would be really appreciated if you reply!  

Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/5a6be37f-6652-4023-8e9f-97f51928f0a0n%40lists.berkeley.edu.


Re: [casper] IP hostname or address of FPGA board

2022-04-12 Thread Wang
Thank you very much Jack!

在2022年4月12日星期二 UTC+8 17:28:30 写道:

> Hi Wang,
>
> I think the default ROACH2 boot images all DHCP a dynamic address. I'm not 
> sure if there is a fallback default.
> If you plug in a USB cable into the board while it boots you should be 
> able to login over serial (device /dev/ttyUSB2, baudrate 115200; see 
> https://casper.astro.berkeley.edu/wiki/Getting_Started_with_ROACH2) at 
> which point you can set/change a static IP.
>
> Cheers
> Jack
>
> On Tue, 12 Apr 2022 at 10:18, Wang  wrote:
>
>> Hello,CASPER
>> How's it going?How do I know the IP hostname or address of  roach2.
>> I would be really appreciated if you reply!  
>> Wang
>>
>> -- 
>>
> You received this message because you are subscribed to the Google Groups "
>> cas...@lists.berkeley.edu" group.
>>
> To unsubscribe from this group and stop receiving emails from it, send an 
>> email to casper+un...@lists.berkeley.edu.
>> To view this discussion on the web visit 
>> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/1577745d-7f04-46d6-8635-e6a1bfa627adn%40lists.berkeley.edu
>>  
>> <https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/1577745d-7f04-46d6-8635-e6a1bfa627adn%40lists.berkeley.edu?utm_medium=email_source=footer>
>> .
>>
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/5dc68919-a9f3-4c9b-be9b-92f435f90db3n%40lists.berkeley.edu.


Re: [casper] IP hostname or address of FPGA board

2022-04-12 Thread Wang
Thank you very much Cedric!

在2022年4月12日星期二 UTC+8 17:28:19 写道:

> Hello,
>
> I use DHCP to set an IP for my roach2.
>
> I followed this page as a starting point to setup the dhcp server:
> https://casper.astro.berkeley.edu/wiki/ROACH_NFS_guide#Installing_dnsmasq
>
> And setup instructions for DHCP+TFTP+NFS from 
> https://docs.google.com/a/ska.ac.za/document/d/1tqw4C6uZ6EULl1OykTFL_vQTnK52UBr0aYqTg44E5wg,
>  
> sections k, l, m.
>
> Cheers,
>
> Cedric
>
> Le 12/04/2022 à 11:18, Wang a écrit :
> > Hello,CASPER
> > How's it going?How do I know the IP hostname or address of  roach2.
> > I would be really appreciated if you reply!
> > Wang
> > 
> > -- 
> > You received this message because you are subscribed to the Google 
> Groups "cas...@lists.berkeley.edu" group.
> > To unsubscribe from this group and stop receiving emails from it, send 
> an email to casper+un...@lists.berkeley.edu  casper+un...@lists.berkeley.edu>.
> > To view this discussion on the web visit 
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/1577745d-7f04-46d6-8635-e6a1bfa627adn%40lists.berkeley.edu
>  
> <
> https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/1577745d-7f04-46d6-8635-e6a1bfa627adn%40lists.berkeley.edu?utm_medium=email_source=footer
> >.
>
> -- 
> Cedric Viou 
>
> Ingénieur de recherche
>
> Station de Radioastronomie de Nançay,
> Observatoire de Paris, PSL Research University, CNRS, Univ. Orléans, OSUC,
> 18330 Nançay, France
> http://www.obs-nancay.fr/
>
> phone : +33 (0) 248 51 8609
> fax : +33 (0) 248 51 8318
>
> www.openstreetmap.org/?mlat=47.381848=2.194415=18
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/91300cea-8ba1-43fd-8589-b32940d89b07n%40lists.berkeley.edu.


[casper] IP hostname or address of FPGA board

2022-04-12 Thread Wang
Hello,CASPER
How's it going?How do I know the IP hostname or address of  roach2.
I would be really appreciated if you reply!  
Wang

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To view this discussion on the web visit 
https://groups.google.com/a/lists.berkeley.edu/d/msgid/casper/1577745d-7f04-46d6-8635-e6a1bfa627adn%40lists.berkeley.edu.


[casper] about core "Finedelay fstop prog" _fring stop parameter

2017-04-23 Thread Wang Jinqing
Hi,

I am using the core "Finedelay fstop prog" to construct a simple correlator. 
But I am some confused about the fring stop function. The document for this 
core is as below. Here "It means that minimum rate of incrementing fringe phase 
by 0.02197 degrees is after 2^17 FFT cycles."  Does this means that every time 
when I increase the phase, it  should be after some times of the FFT cycles,and 
the shortest time is one FFT cycle? Can I increase the phase in the one FFT 
cycle  which means that I can increase the phase every clock?

 

Fringe Stop :

Masking parameter fft_cycle_bits determines the maximum number of FFT cycles 
after which the fringe phase will be incremented.

For eg. Let the sync period is of 2^27 clks and number of FFT points be 2^10 
then the maximum number of FFT cycles for incrementing the fringe phase by 
amount of resolution set for the Sine-Cos LUT = 2^27 / 2^10 = 2^17

It means that minimum rate of incrementing fringe phase by 0.02197 degrees is 
after 2^17 FFT cycles.

 

Best Regards.

Oliver Wang


-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To post to this group, send email to casper@lists.berkeley.edu.


Re: [casper] question about finedelay_fstop_prog

2015-02-20 Thread Wang Jinqing
Hi, thank you

But I have set the theta_fract zero,this means that the phase changing every 
4095 FFT cycles is zero, So the output phase should be stable. But now is not 
like that.

Best Regards.

Oliver Wang




-原始邮件-
发件人: Andrew Martens and...@ska.ac.za
发送时间: 2015年2月20日 星期五
收件人: Wang Jinqing jqw...@shao.ac.cn
抄送: casper@lists.berkeley.edu casper@lists.berkeley.edu
主题: Re: [casper] question about finedelay_fstop_prog


Hi Oliver


From what I can see, that port determines how quickly the phase offset applied 
to all channels is incremented. So your value tells it to increment the phase 
offset every 4095 cycles. I am not sure if there is some way to turn off 
fringe-stopping.

There is some good documentation at 
https://casper.berkeley.edu/wiki/Finedelay_fstop_prog which may help.


Regards

Andrew



On Fri, Feb 20, 2015 at 3:06 PM, Wang Jinqing jqw...@shao.ac.cn wrote:


Hi,

I want to use the core finedelay_fstop_prog to design a FX correlator( two 
stations ) after FFT as the appendix. I have set theta_fract and theta_fs all 
zeros, fft_fs 4095. I think in this design the  the correlated phase should be 
constant with time. But when I  input a sine wave signal then devides into two 
channels( as two stations' signal),  the correlated phase is changing with 
time. I have tested the model after I removed the finedelay_fstop_prog core and 
I found the correlated phase is stable. So I think I may set the 
finedelay_fstop_prog's input parameters not correct. But how to set the 
parameters correctlly?

Best Regards.

Oliver Wang













[casper] about complex FFT core problem

2015-02-12 Thread Wang Jinqing
Hello,

I have tried to the complex FFT core . During the simulation,the system 
generator give out the error as below:
Slice endpoints must lie between the LSB and MSB
Error occurred during Rate and Type Error Checking.  Maybe the input now is 
not correct,because I have connect the real_pfb's output to the complex FFT 
directory.Can it work well?  But I don't kown how to fix.

I have set the FFT's parameters as the fft_setting.jpg appendix.

Best Regards.

Oliver Wang

 -原始邮件-
 发件人: casper-requ...@lists.berkeley.edu
 发送时间: 2015年2月13日 星期五
 收件人: casper@lists.berkeley.edu
 抄送: 
 主题: casper Digest, Vol 87, Issue 8
 
 Send casper mailing list submissions to
  casper@lists.berkeley.edu
 
 To subscribe or unsubscribe via the World Wide Web, visit
  https://calmail.berkeley.edu/manage/list/listinfo/casper@lists.berkeley.edu
 
 or, via email, send a message with subject or body 'help' to
  casper-requ...@lists.berkeley.edu
 
 You can reach the person managing the list at
  casper-ow...@lists.berkeley.edu
 
 When replying, please edit your Subject line so it is more specific
 than Re: Contents of casper digest...
 
 
 Today's Topics:
 
1. VHDL black-boxing (lack of existing documentation) (James Smith)
2. Error with mmcm (Nishanth Shivashankaran)
 
 
 --
 
 Message: 1
 Date: Thu, 12 Feb 2015 11:34:39 +0200
 From: James Smith jsm...@ska.ac.za
 Subject: [casper] VHDL black-boxing (lack of existing documentation)
 To: casper@lists.berkeley.edu
 Message-ID:
  CAG67D36=abxtvfgo4x82e9yp6_yfzkppjewoszqukvsrtph...@mail.gmail.com
 Content-Type: text/plain; charset=utf-8
 
 Hello all,
 
 I've been trying to move away from such big heavy models, my ultimate goal
 being to have VHDL black boxes instead of precompiled ones made from Xilinx
 or Casper DSP blocks.
 
 Jack Hickish's HDL Black Box Tutorial (
 https://casper.berkeley.edu/wiki/Tutorial_HDL_Black_Box) demonstrates a
 very simple example of this, but I wanted something which could be a little
 bit more dynamic, e.g. in terms of bit-widths for a given input. I started
 with a simple D-flip-flop block, which would automatically size itself
 according to what was put in at the input. Eventually I got it right.
 
 This is my VHDL code (important points noted with  - these aren't
 obvious from the above tutorial):
 #
 library IEEE;
 use IEEE.std_logic_1164.all;
 
 entity d_flip_flop_nbit is
 generic (n_bits: positive); #
 port (D : in std_logic_vector(n_bits - 1 downto 0); 
   clk, ce: in std_logic;
   Q : out std_logic_vector(n_bits - 1 downto 0)); 
 end d_flip_flop_nbit;
 
 architecture behav of d_flip_flop_nbit is
 begin
 
 dffn: process (clk)
 begin
 if (rising_edge(clk)) then
 Q = D;
 end if;
 end process dffn;
 
 end behav;
 #
 
 This is the accompanying config M-file:
 
 %
 function d_flip_flop_nbit_config(this_block)
   this_block.setTopLevelLanguage('VHDL');
   this_block.setEntityName('d_flip_flop_nbit');
   this_block.addSimulinkInport('D');
   this_block.addSimulinkOutport('Q');
 
   % -
   if (this_block.inputTypesKnown)
 
 this_block.addGeneric('n_bits','positive',num2str(this_block.port('D').width));
 %
 
 %Sysgen user guide specifies these lines of code but Matlab doesn't
 like them. Apparently
 %the functions referred don't actually exist. What I have below is a
 bit of a hack but it worked.
 % q_port = this_block.port('Q');
 % q_port.setWidth = this_block.port('D').width;
 % q_port.setBinPt(0);
 % q_port.makeUnsigned();
 
 q_port = this_block.port('Q');
 q_port_string =
 strcat('Ufix_',num2str(this_block.port('D').width),'_0'); %
 q_port.setType(q_port_string);
 
   end  % if(inputTypesKnown)
   % -
 
   % -
if (this_block.inputRatesKnown)
  setup_as_single_rate(this_block,'clk','ce')
end  % if(inputRatesKnown)
   % -
 
 uniqueInputRates = unique(this_block.getInputRates);
 
   this_block.addFile('d_flip_flop_nbit.vhd');
 
 return;
 % 
 
 function setup_as_single_rate(block,clkname,cename)
   inputRates = block.inputRates;
   uniqueInputRates = unique(inputRates);
   if (length(uniqueInputRates)==1  uniqueInputRates(1)==Inf)
 block.addError('The inputs to this block cannot all be constant.');
 return;
   end
   if (uniqueInputRates(end) == Inf)
  hasConstantInput = true;
  uniqueInputRates = uniqueInputRates(1:end-1);
   end
   if (length(uniqueInputRates) ~= 1)
 block.addError('The inputs to this block must

Re: [casper] about download the tut3.bof

2014-12-03 Thread Wang Jinqing
Hi,

Until now I even can't fix this problem.So I can't download the bof file 
throuth python, because the NFS can not setup, and I just using such linux 
command  nc -w 2 -q 2 192.168.40.60 7146  name.bof to download the bof file. I 
thin if you fixed the NFS problem all the python download problem will be fixed.

Best Regards.

Oliver Wang


 -原始邮件-
 发件人: Andrea Mattana matt...@ira.inaf.it
 发送时间: 2014年12月3日 星期三
 收件人: Wang Jinqing jqw...@shao.ac.cn
 抄送: casper list casper@lists.berkeley.edu
 主题: Re: [casper] about download the tut3.bof
 
 Hi Caspers and Wang,
 
 I got the same errors reported on this email and we have found a
 possible fix (not sure if skipping this step could be an issue).
 
 We have installed the corr and katcp (0.5.5) packages few days ago
 (latest versions), and updated the git repository of roach-2_nfs_boot
 (tcpborphserver3 last commit about 2 weeks ago). We were able to
 telnet the roach2 to the port 7147 and to communicate with the server:
 
 Escape character is '^]'.
 #version alpha-6-g0b8dd54
 #build-state 2012-10-24T10:04:56
 #version-connect katcp-library alpha-6-g0b8dd54 2012-10-24T10:04:56
 #version-connect katcp-protocol 4.9-M
 #version-connect kernel 3.7.0-rc2+ #21\_Mon\_Nov\_19\_09:30:32\_SAST\_2012
 
 but when trying to connect via the python corr I got this message:
 
 In [17]: c = corr.katcp_wrapper.FpgaClient(roach-2-a, logger=logger)
 DEBUG:med:Starting thread Thread-5
 In [18]: DEBUG:med:#version alpha-6-g0b8dd54
 DEBUG:med:#build-state 2012-10-24T10:04:56
 DEBUG:med:#version-connect katcp-library alpha-6-g0b8dd54 2012-10-24T10:04:56
 DEBUG:med:#version-connect katcp-protocol 4.9-M
 ERROR:med:Protocol Version Error: Inform received from server
 indicating a katcp protocol revision inconsistent with the previously
 detected version. Disconnecting in disgust. Previous version: '4.0'.
 Inform received: '#version-connect katcp-protocol 4.9-M'
 DEBUG:med:#version-connect kernel 3.7.0-rc2+
 #21\_Mon\_Nov\_19\_09:30:32\_SAST\_2012
 DEBUG:med:Stopping thread Thread-5
 
 and of course the method ping() fails and is_connected() reports False.
 
 We have found the protocol version check in katcp/client.py (line 168)
 and changed as follow:
 # protocol_flags = ProtocolFlags(4, 0, '')
 protocol_flags = ProtocolFlags(4, 9, 'M')
 
 This fix works, or better, the check has been by-passed.
 
 We have done the same test using the katcp version 0.5.4 as Wang and
 got the same messages. Previous katcp versions are dated 2013 and we
 didn't test them. We have also tested the previous version of
 tcpborphserver3 with the same result.
 
 Do you have experience on this?
 
 Cheers,
 Andrea Mattana and Marco Bartolini
 
 
 
 2014-10-24 3:47 GMT+02:00 Wang Jinqing jqw...@shao.ac.cn:
  Hi:
  I have compiled the tut3 and got the bof file.Then I want to download the
  bof file to the roach2 fpga. But errors show like below,it seem that the
  tut3.py used the katcp version is not consist to the roach2's that result in
  the download failed. How to fix this problem?
  the tut3.py I used see the appendix.
 
  Best Regards.
  Oliver Wang
 
 
  FAILURE DETECTED. Log entries:
  192.168.40.60: Starting thread Thread-1
  192.168.40.60: #version test-584-g9ebe0bd-dirty
  192.168.40.60: #build-state 2012-06-26T09:26:06
  192.168.40.60: #version-connect katcp-library test-584-g9ebe0bd-dirty
  2012-06-26T09:26:06
  192.168.40.60: #version-connect katcp-protocol 4.9-M
  192.168.40.60: Protocol Version Error: Inform received from server
  indicating a katcp protocol revision inconsistent with the previously
  detected version. Disconnecting in disgust. Previous version: '4.0'. Inform
  received: '#version-connect katcp-protocol 4.9-M'
  192.168.40.60: #version-connect kernel 3.4.0-rc3+
  #14\_Tue\_May\_29\_17:05:02\_SAST\_2012
  192.168.40.60: Stopping thread Thread-1
  None
  FAILURE DETECTED. Log entries:
  192.168.40.60: Starting thread Thread-1
  192.168.40.60: #version test-584-g9ebe0bd-dirty
  192.168.40.60: #build-state 2012-06-26T09:26:06
  192.168.40.60: #version-connect katcp-library test-584-g9ebe0bd-dirty
  2012-06-26T09:26:06
  192.168.40.60: #version-connect katcp-protocol 4.9-M
  192.168.40.60: Protocol Version Error: Inform received from server
  indicating a katcp protocol revision inconsistent with the previously
  detected version. Disconnecting in disgust. Previous version: '4.0'. Inform
  received: '#version-connect katcp-protocol 4.9-M'
  192.168.40.60: #version-connect kernel 3.4.0-rc3+
  #14\_Tue\_May\_29\_17:05:02\_SAST\_2012
  192.168.40.60: Stopping thread Thread-1
  None
  Traceback (most recent call last):
File ./tut3.py, line 141, in module
  exit_fail()
File ./tut3.py, line 21, in exit_fail
  fpga.stop()
File /usr/local/lib/python2.7/dist-packages/corr/katcp_wrapper.py, line
  613, in stop
  super(FpgaClient,self).stop()
File
  /usr/local/lib/python2.7/dist-packages/katcp-0.5.4-py2.7.egg/katcp/client.py,
  line 1258, in stop

[casper] ErrorCannot find any compiled XSG netlist.

2014-11-06 Thread Wang Jinqing
Hi,

Today,I'm compling a model but the matlab give out such errors like below. Does 
some have the same problem?How to resolve? 

Error using gen_xps_create_pcore (line 41)
Cannot find any compiled XSG netlist. Have you run the Xilinx System Generator 
on your design ?

Best Regards.

Oliver Wang




[casper] wide_band_real fft simulation problem of tut3

2014-11-02 Thread Wang Jinqing
Hi,

I can run the tut3.mdl,but I found the simulation result of  wide_band fft is 
not correct. For example I have generate a sine (freq= 1/4pi)wave input the 
KaADC ,I found the polyphase output sine wave is still ok on the scope,  but 
after the wide_band_real fft ( after real and image parts seperation) and power 
I even can't find the corresponding spectrum line. There're many messy signals 
on the spectrum. It seems that the fft core not works well in simulation. Does 
someone run into this problem?

Best Regards.

Oliver Wang. 




[casper] about nfs boot configuration on roach2

2014-10-28 Thread Wang Jinqing
Hi,

I have make a nfs setup on my roach2 board as the guide 
https://casper.berkeley.edu/wiki/ROACH_NFS_guide .

Then I reboot in the minicom window,command dhcp and nfs seperately,the ouput 
information is below.It seems that the roach has found the uImage and 
transferred the kernel file.But next it doesn't boot up automaticly. In my 
thought, once the roach have loaded the uImage file, the linux system should 
boot up automaticly. But now it stop on the line.

Can some one give me some advice?

= dhcp
Waiting for PHY auto negotiation to complete... done
ENET Speed is 1000 Mbps - FULL duplex connection (EMAC0)
BOOTP broadcast 1
*** Unhandled DHCP Option in OFFER/ACK: 28
*** Unhandled DHCP Option in OFFER/ACK: 28
DHCP client bound to address 192.168.40.60
Using ppc_4xx_eth0 device
TFTP from server 192.168.40.1; our IP address is 192.168.40.60
Filename '/srv/roach_boot/boot/uImage'.
Load address: 0x10
Loading: #
 ##
done
Bytes transferred = 1390149 (153645 hex)


= nfs
ENET Speed is 1000 Mbps - FULL duplex connection (EMAC0)
Using ppc_4xx_eth0 device
File transfer via NFS from server 192.168.40.1; our IP address is 192.168.40.60
Filename '/srv/roach_boot/boot/uImage'.
Load address: 0x10
Loading: #
 #
 #
 #
 
done
Bytes transferred = 1390149 (153645 hex)


 




[casper] about boffile download using tut3.py

2014-10-25 Thread Wang Jinqing
Hi:

These days I have built the tut3.mdl and got the bof file. Then I want to use 
the tut3.py to download the bof file. The errors like below error 
information.It seems that the connection is ok, but the bof file is can't be 
found. Actuall I have save the bof file  tut3_2014_Oct_24_0848.bof in the same 
directory to tut3.py  in the computer(not the roach2). It seem that I should 
move the bof file to the roach2 or from network send the bof file to the 
roach2, but I even don't know how to do that.

For tut1 I can use telnet to roach2,the using the command like 

nc -w 2 -q 2 192.168.111.10   name.bof

to download the bof file.But tut3.py looks not in that way. What should I do  ?

By the way,is there a linux system in roach2? For I even can't find a SD card 
on the board.

error information:

192.168.40.60: ?progdev tut3_2014_Oct_24_0848.bof

 

192.168.40.60: #log info 992952462866 raw attempting\_to\_empty\_fpga

192.168.40.60: #log info 992952462866 raw 
attempting\_to\_program\_tut3_2014_Oct_24_0848.bof

192.168.40.60: #log error 992952462867 raw 
unable\_to\_open\_boffile\_./tut3_2014_Oct_24_0848.bof:\_No\_such\_file\_or\_directory

192.168.40.60: !progdev fail

192.168.40.60: Request progdev failed.

  Request: ?progdev tut3_2014_Oct_24_0848.bof

  Reply: !progdev fail.

None

Traceback (most recent call last):

  File ./tut3.py, line 141, in module

exit_fail()

  File ./tut3.py, line 108, in module

fpga.progdev(bitstream)

  File /usr/local/lib/python2.7/dist-packages/corr/katcp_wrapper.py, line 
103, in progdev

reply, informs = self._request(progdev, device_name)

  File /usr/local/lib/python2.7/dist-packages/corr/katcp_wrapper.py, line 65, 
in _request

% (request.name, request, reply))

RuntimeError: Request progdev failed.

  Request: ?progdev tut3_2014_Oct_24_0848.bof

  Reply: !progdev fail.

Best Regards.

Oliver Wang




[casper] about download the tut3.bof

2014-10-23 Thread Wang Jinqing
Hi:
I have compiled the tut3 and got the bof file.Then I want to download the bof 
file to the roach2 fpga. But errors show like below,it seem that the tut3.py 
used the katcp version is not consist to the roach2's that result in the 
download failed. How to fix this problem?
the tut3.py I used see the appendix.

Best Regards.
Oliver Wang


FAILURE DETECTED. Log entries:
192.168.40.60: Starting thread Thread-1
192.168.40.60: #version test-584-g9ebe0bd-dirty
192.168.40.60: #build-state 2012-06-26T09:26:06
192.168.40.60: #version-connect katcp-library test-584-g9ebe0bd-dirty 
2012-06-26T09:26:06
192.168.40.60: #version-connect katcp-protocol 4.9-M
192.168.40.60: Protocol Version Error: Inform received from server indicating a 
katcp protocol revision inconsistent with the previously detected version. 
Disconnecting in disgust. Previous version: '4.0'. Inform received: 
'#version-connect katcp-protocol 4.9-M'
192.168.40.60: #version-connect kernel 3.4.0-rc3+ 
#14\_Tue\_May\_29\_17:05:02\_SAST\_2012
192.168.40.60: Stopping thread Thread-1
None
FAILURE DETECTED. Log entries:
192.168.40.60: Starting thread Thread-1
192.168.40.60: #version test-584-g9ebe0bd-dirty
192.168.40.60: #build-state 2012-06-26T09:26:06
192.168.40.60: #version-connect katcp-library test-584-g9ebe0bd-dirty 
2012-06-26T09:26:06
192.168.40.60: #version-connect katcp-protocol 4.9-M
192.168.40.60: Protocol Version Error: Inform received from server indicating a 
katcp protocol revision inconsistent with the previously detected version. 
Disconnecting in disgust. Previous version: '4.0'. Inform received: 
'#version-connect katcp-protocol 4.9-M'
192.168.40.60: #version-connect kernel 3.4.0-rc3+ 
#14\_Tue\_May\_29\_17:05:02\_SAST\_2012
192.168.40.60: Stopping thread Thread-1
None
Traceback (most recent call last):
  File ./tut3.py, line 141, in module
exit_fail()
  File ./tut3.py, line 21, in exit_fail
fpga.stop()
  File /usr/local/lib/python2.7/dist-packages/corr/katcp_wrapper.py, line 
613, in stop
super(FpgaClient,self).stop()
  File 
/usr/local/lib/python2.7/dist-packages/katcp-0.5.4-py2.7.egg/katcp/client.py, 
line 1258, in stop
super(CallbackClient, self).stop(*args, **kwargs)
  File 
/usr/local/lib/python2.7/dist-packages/katcp-0.5.4-py2.7.egg/katcp/client.py, 
line 644, in stop
raise RuntimeError(Attempt to stop client that wasn't running.)
RuntimeError: Attempt to stop client that wasn't running.
root@laobaoqiang-RS100-E7-PI2-RS100-E7-PI2:/opt/mlib_devel/tut3/bit_files#









tut3.py
Description: Binary data


[casper] about tut3 input ports

2014-10-21 Thread Wang Jinqing
Hi,
I have download the tut3.mdl. And I found than for the inports,such as 
[quant_gain], the sysgen always give out such error The input ports on this 
block must be driven by other Xilinx blocks during simulation,see the 
appendix. So then I have change a xilinx constant block,it seem good. But the 
[quant_gain] is an inport, that is an interface to the software, so which 
xilinx is suitable?

Best Regards.
Oliver Wang





Re: [casper] about error report of tut3

2014-10-20 Thread Wang Jinqing
Yes,for I am a new man and I don't know that the blocks are actually good. I 
have set the fft block and it seems simulating well.

Thank you very much.

Best Regards.

Oliver Wang


 -原始邮件-
 发件人: Andrew Martens and...@ska.ac.za
 发送时间: 2014年10月20日 星期一
 收件人: Wang Jinqing jqw...@shao.ac.cn, casper casper@lists.berkeley.edu
 抄送: 
 主题: Re: [casper] about error report of tut3
 
 Hi
 
 The FFT blocks in the library are empty but can still be used. Put one 
 in a design and change the number of channels from 0 to the number you 
 want for your design.
 
 They are stored empty in the library to make sure that there are no 
 'old' blocks stored in the library.
 
 Regards
 Andrew
 
 On 20/10/2014 08:36, Wang Jinqing wrote:
  Hi,
  I may know the reason for the problem. Because there's no
  fftwideband_real in the CASPER DSP in simulink even I have installed the
  mlib_vevel library. Actually in the FFTs there are nothing can be
  used,see the picture appendix.
  Does anyone knows how to install the fftwideband_real and other items in
  Casper DSP blockset? Without that item the tut3 can't run.
 
  Best Regards.
  Oliver Wang
 
 
  -原始邮件-
  *发件人:* Wang Jinqing jqw...@shao.ac.cn
  *发送时间:* 2014年10月19日 星期日
  *收件人:* casper@lists.berkeley.edu
  *抄送:*
  *主题:* about error report of tut3
 
  Hi I havedownload the tut3.mdl and I want to do the simulation in
  simulink sysgen(version=14.3.4477.). But the fft_wideband_real
  component always report message as:
 
  Error in 'tut3_back/fft_wideband_real matlab:open_and_hilite_system
  ('tut3_back/fft_wideband_real')': Initialization commands cannot be
  evaluated.
 
  fft_unscrambler block (mask) does not have a parameter named
  'coeffs_bit_limit'
 
  How can I fix this problem? Does anyone run into such a problem?
 
  Best Regards.
  Oliver Wang
 
 
 
-原始邮件-
发件人: casper-requ...@lists.berkeley.edu
  mailto:casper-requ...@lists.berkeley.edu
发送时间: 2014年10月17日 星期五
收件人: casper@lists.berkeley.edu mailto:casper@lists.berkeley.edu
抄送:
主题: casper Digest, Vol 83, Issue 11
   
Send casper mailing list submissions to
casper@lists.berkeley.edu mailto:casper@lists.berkeley.edu
   
To subscribe or unsubscribe via the World Wide Web, visit
   
  
  https://calmail.berkeley.edu/manage/list/listinfo/casper@lists.berkeley.edu
  mailto:casper@lists.berkeley.edu
   
or, via email, send a message with subject or body 'help' to
casper-requ...@lists.berkeley.edu
  mailto:casper-requ...@lists.berkeley.edu
   
You can reach the person managing the list at
casper-ow...@lists.berkeley.edu
  mailto:casper-ow...@lists.berkeley.edu
   
When replying, please edit your Subject line so it is more specific
than Re: Contents of casper digest...
   
   
Today's Topics:
   
   1. Re: about simulation very slowly (David MacMahon)
   2. Re: about simulation very slowly (Jason Manley)
   3. Re: The roach2 always appear disconnected after a few
  miniutes! (Jason Manley)
   
   

  --
   
Message: 1
Date: Thu, 16 Oct 2014 21:18:06 -0700
From: David MacMahon dav...@astro.berkeley.edu
  mailto:dav...@astro.berkeley.edu
Subject: Re: [casper] about simulation very slowly
To: Wang Jinqing jqw...@shao.ac.cn mailto:jqw...@shao.ac.cn
Cc: casper@lists.berkeley.edu mailto:casper@lists.berkeley.edu
Message-ID: da8d0e1a-5485-4460-a5ac-bafa6c8de...@astro.berkeley.edu 
  mailto:da8d0e1a-5485-4460-a5ac-bafa6c8de...@astro.berkeley.edu
Content-Type: text/plain; charset=us-ascii
   
Hi, Oliver,
   
On Oct 16, 2014, at 9:06 PM, Wang Jinqing wrote:
   
 I have construct a very easy project under matlab simulink 
  envirement ,thant is: only a katadc component and a scope to moniter the 
  adc's output . I want to do simulation so I set the start time 0.0,stop 
  time 10. I found it'll spend about 20 minutes to give out the simulation 
  result so that I can see the scope's output( Although the result is righ). 
  I think there's something about configuration may not be correct. But I 
  don't know where I should change the settings so the simulation speed can 
  be increased. Could some on give me some advice?
   
I've encountered very slow simulations when using a network mounted 
  home directory.  It turns out that I needed to set the DSP_CACHE_DIR 
  variable to specify a directory on a local disk.  Without that, sysgen 
  seemed to be repeatedly trying and failing (for some reason) to start a 
  dspcache server that used my home directory on the network mounted 
  filesystem (maybe CIFS?).  I have no idea why that would

[casper] about simulation very slowly

2014-10-16 Thread Wang Jinqing
Hi,

I have construct a very easy project under matlab simulink envirement ,thant 
is: only a katadc component and a scope to moniter the adc's output . I want to 
do simulation so I set the start time 0.0,stop time 10. I found it'll spend 
about 20 minutes to give out the simulation result so that I can see the 
scope's output( Although the result is righ). I think there's something about 
configuration may not be correct. But I don't know where I should change the 
settings so the simulation speed can be increased. Could some on give me some 
advice?

Best Regards.

Oliver Wang

 




 -原始邮件-
 发件人: casper-requ...@lists.berkeley.edu
 发送时间: 2014年10月17日 星期五
 收件人: casper@lists.berkeley.edu
 抄送: 
 主题: casper Digest, Vol 83, Issue 9
 
 Send casper mailing list submissions to
  casper@lists.berkeley.edu
 
 To subscribe or unsubscribe via the World Wide Web, visit
  https://calmail.berkeley.edu/manage/list/listinfo/casper@lists.berkeley.edu
 
 or, via email, send a message with subject or body 'help' to
  casper-requ...@lists.berkeley.edu
 
 You can reach the person managing the list at
  casper-ow...@lists.berkeley.edu
 
 When replying, please edit your Subject line so it is more specific
 than Re: Contents of casper digest...
 
 
 Today's Topics:
 
1. about tutorial3 on roach board2 (Wang Jinqing)
2. Re: about tutorial3 on roach board2 (Mark Wagner)
3. Re: about tutorial3 on roach board2 (Wang Jinqing)
 
 
 --
 
 Message: 1
 Date: Thu, 16 Oct 2014 04:43:34 +0800 (GMT+08:00)
 From: Wang Jinqing jqw...@shao.ac.cn
 Subject: [casper] about tutorial3 on roach board2
 To: casper casper@lists.berkeley.edu
 Message-ID: 928756.daa4.149158d30c7.coremail.jqw...@shao.ac.cn
 Content-Type: text/plain; charset=gbk
 
 
 Hi,
 
 I want to run the tutorial3 wideband spectrometer on my roach2. So I want to 
 download the model file from the web 
 https://casper.berkeley.edu/wiki/Wideband_Spectrometer  on the setup line it 
 writes like:This tutorial comes with a complete model.
 
 But It seems nothing connection if I click in this item. So I want to build 
 the model by myself but the document about this tutorial3 is  detail enough  
 on the https://casper.berkeley.edu/wiki/Wideband_Spectrometer   for a new man 
 like me.  
 
 So how I can download this model or build the tutorial3 model on roach2.
 
 Best Regards.
 
 Oliver Wang
 
 
 
 -- next part --
 An HTML attachment scrubbed and removed.
 HTML attachments are only available in MIME digests.
 
 --
 
 Message: 2
 Date: Wed, 15 Oct 2014 17:40:39 -0700
 From: Mark Wagner mwag...@ssl.berkeley.edu
 Subject: Re: [casper] about tutorial3 on roach board2
 To: Wang Jinqing jqw...@shao.ac.cn
 Cc: casper casper@lists.berkeley.edu
 Message-ID:
  CADA6gnBfgotOt+GBou6fp=43sx-6gfdp0ytm1lj-qpfpqnh...@mail.gmail.com
 Content-Type: text/plain; charset=utf-8
 
 It seems github has some policies about binary files that may not show them
 as you'd expect. But for tutorial 3, you should be able to go here:
 
 https://github.com/casper-astro/tutorials_devel/commit/ab557d6192cec4aff49634f3a20a979e81ead69f
 
 click on the view button for the file you want (e.g. tut3.mdl.gz) and then
 click on 'view the full file'.  I think that should download it for you.
 
 We might want to think about putting the binaries in official releases for
 the tutorials:
 
 https://help.github.com/articles/distributing-large-binaries/
 
 Best,
 Mark
 
 On Wed, Oct 15, 2014 at 1:43 PM, Wang Jinqing jqw...@shao.ac.cn wrote:
 
 
  Hi,
 
  I want to run the tutorial3 wideband spectrometer on my roach2. So I want
  to download the model file from the web
  https://casper.berkeley.edu/wiki/Wideband_Spectrometer  on the setup line
  it writes like:This tutorial comes with a com plete model.
 
  But It seems nothing connection if I click in this item. So I want to
  build the model by myself but the document about this tutorial3 is  detail
  enough  on the https://casper.berkeley.edu/wiki/Wideband_Spectrometer nbs
  p; for a new man like me.
 
  So how I can download this model or build the tutorial3 model on roach2.
 
  Best Regards.
 
  Oliver Wang
 
 
 
 
 -- next part --
 An HTML attachment scrubbed and removed.
 HTML attachments are only available in MIME digests.
 
 --
 
 Message: 3
 Date: Thu, 16 Oct 2014 12:14:05 +0800 (GMT+08:00)
 From: Wang Jinqing jqw...@shao.ac.cn
 Subject: Re: [casper] about tutorial3 on roach board2
 To: Mark Wagner mwag...@ssl.berkeley.edu
 Cc: casper casper@lists.berkeley.edu
 Message-ID: be137d.54c2.1491729a4a5.coremail.jqw...@shao.ac.cn
 Content-Type: text/plain; charset=utf-8
 
 Hi Mark,thank you very much.
 
 I can download and see the elements of the model now . I  try to run the 
 model on my Roach2 board. But it seems something wrong about the matlab. I'll 
 check.
 
 Best Regards.
 
 Oliver Wang

[casper] about tutorial3 on roach board2

2014-10-15 Thread Wang Jinqing

Hi,

I want to run the tutorial3 wideband spectrometer on my roach2. So I want to 
download the model file from the web 
https://casper.berkeley.edu/wiki/Wideband_Spectrometer  on the setup line it 
writes like:This tutorial comes with a complete model.

But It seems nothing connection if I click in this item. So I want to build the 
model by myself but the document about this tutorial3 is  detail enough  on the 
https://casper.berkeley.edu/wiki/Wideband_Spectrometer   for a new man like me. 
 

So how I can download this model or build the tutorial3 model on roach2.

Best Regards.

Oliver Wang





Re: [casper] about tutorial3 on roach board2

2014-10-15 Thread Wang Jinqing
Hi Mark,thank you very much.

I can download and see the elements of the model now . I  try to run the model 
on my Roach2 board. But it seems something wrong about the matlab. I'll check.

Best Regards.

Oliver Wang




 

-原始邮件-
发件人: Mark Wagner mwag...@ssl.berkeley.edu
发送时间: 2014年10月16日 星期四
收件人: Wang Jinqing jqw...@shao.ac.cn
抄送: casper casper@lists.berkeley.edu
主题: Re: [casper] about tutorial3 on roach board2


It seems github has some policies about binary files that may not show them as 
you'd expect. But for tutorial 3, you should be able to go here:


https://github.com/casper-astro/tutorials_devel/commit/ab557d6192cec4aff49634f3a20a979e81ead69f



click on the view button for the file you want (e.g. tut3.mdl.gz) and then 
click on 'view the full file'.  I think that should download it for you.


We might want to think about putting the binaries in official releases for the 
tutorials:


https://help.github.com/articles/distributing-large-binaries/



Best,
Mark


On Wed, Oct 15, 2014 at 1:43 PM, Wang Jinqing jqw...@shao.ac.cn wrote:



Hi,

I want to run the tutorial3 wideband spectrometer on my roach2. So I want to 
download the model file from the web 
https://casper.berkeley.edu/wiki/Wideband_Spectrometer  on the setup line it 
writes like:This tutorial comes with a com plete model.

But It seems nothing connection if I click in this item. So I want to build the 
model by myself but the document about this tutorial3 is  detail enough  on the 
https://casper.berkeley.edu/wiki/Wideband_Spectrometer nbs p; for a new man 
like me.  

So how I can download this model or build the tutorial3 model on roach2.

Best Regards.

Oliver Wang














[casper] about Roach2 tutorials

2014-08-16 Thread Wang Jinqing
Hi,

I have started the Roach2 board with very simple counters .It seems goes well. 
Now I want to use the

Tutorial 3: Wideband Spectrometer and Tutorial 4: Wideband Pocket Correlator.
Based these two examples I  want to develop the project I want.But I found the 
tutorials are all for Roach1 board, how can it run smoothly on Roach2 ? Do you 
have tutorials that is suitable for Roach2.
Best regards.
Oliver Wang


 -原始邮件-
 发件人: casper-requ...@lists.berkeley.edu
 发送时间: 2014年8月15日 星期五
 收件人: casper@lists.berkeley.edu
 抄送: 
 主题: casper Digest, Vol 81, Issue 9
 
 Send casper mailing list submissions to
  casper@lists.berkeley.edu
 
 To subscribe or unsubscribe via the World Wide Web, visit
  https://calmail.berkeley.edu/manage/list/listinfo/casper@lists.berkeley.edu
 
 or, via email, send a message with subject or body 'help' to
  casper-requ...@lists.berkeley.edu
 
 You can reach the person managing the list at
  casper-ow...@lists.berkeley.edu
 
 When replying, please edit your Subject line so it is more specific
 than Re: Contents of casper digest...
 
 
 Today's Topics:
 
1. T1E problem is back (Rich Lacasse)
 
 
 --
 
 Message: 1
 Date: Thu, 14 Aug 2014 09:54:25 -0400
 From: Rich Lacasse rlaca...@nrao.edu
 Subject: [casper] T1E problem is back
 To: Alejandro Saez as...@nrao.edu, casper list
  casper@lists.berkeley.edu
 Message-ID: 53ecbf91.9010...@nrao.edu
 Content-Type: text/plain; charset=ISO-8859-1; format=flowed
 
 Hi Alejandro,
 
 I installed the new ASUMMID personality you sent.  It produces a 1 
 every 16 msec when enabled.  Looks good!
 
 I started doing timing tests but now have run into the situation where 
 T1E fails, i.e., I cannot talk with the PIC FPGA.  I don't know if this 
 is a result of the change I made in cleaning out unneeded code, or just 
 a random occurrence.  I have power cycled the ROACH successfully but now 
 it's in a bad mood.  I guess I had better put off my timing tests and 
 see if I can figure out what going wrong with communications.  This may 
 take a while... :(.
 
 Rich
 
 
 
 End of casper Digest, Vol 81, Issue 9
 *