Re: [gem5-users] Errors building on Ubuntu 17.10

2018-02-22 Thread Ciro Santilli
I reproduce. There was already a patch to port to 7.2.0 at 5c41076bd7610d03431fd0dd89bd0fdc7f30d6bd but the migration wasn't complete. One workaround that does not require modifying the code is: sudo apt-get install g++-6 gcc-6 CC=gcc-6 CXX=g++-6 scons From

[gem5-users] Linux kernel boot on x86 full system (FS) with --cpu-type=DerivO3CPU fails with: "Kernel panic - not syncing: Attempted to kill the idle task!"

2018-02-27 Thread Ciro Santilli
https://github.com/gem5/gem5/issues/16 fbe63074e3a8128bdbe1a5e8f6509c565a3abbd4 Boot works if I remove `--cpu-type=DerivO3CPU`. Possible same: https://www.mail-archive.com/gem5-users@gem5.org/msg14062.html but `DeriveO3CPU` not mentioned so I'm not sure. Automated setup to reproduce: https://

Re: [gem5-users] Gem5 full system simulation on ARM

2018-03-02 Thread Ciro Santilli
Hello Sahana, If you don't absolutely need Ubuntu, I also have highly automated Buildroot setup at: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/6b099ebd82c81a093922cda6ab5385e86238ec54#gem5 From: gem5-users on behalf of Sahana Prasad Sen

Re: [gem5-users] Gem5 full system simulation on ARM

2018-03-02 Thread Ciro Santilli
at you did. From: Sahana Prasad Sent: Friday, March 2, 2018 12:10:13 PM To: gem5 users mailing list; Ciro Santilli Cc: Sepulveda Florez, Martha Johanna Subject: Re: [gem5-users] Gem5 full system simulation on ARM Thanks for this Ciro. I will have a look and try it

Re: [gem5-users] How to periodically dump stats after a certain point running benchmark

2018-03-05 Thread Ciro Santilli
One possibility is to instrument the benchmark with gem5 magic instructions (the same instructions that m5 dumpstats ends up calling). Parsec in particular already has instrumentation stubs called __parsec_roi_begin(), which you can implement for gem5 as shown at: https://github.com/arm-university

Re: [gem5-users] gem5 checkpoint

2018-03-06 Thread Ciro Santilli
m5_roi_begin are to be defined and placed inside the program that you are benchmarking, not in gem5 itself (although it would be a good idea to put those stubs as a header file in gem5 if not already done?). There is an example of that at: - https://github.com/arm-university/arm-gem5-rsk/blob/aa

Re: [gem5-users] Is the dtb file important for FS simulation?

2018-03-06 Thread Ciro Santilli
Yes, if you don't modify the dtb, the Linux kernel won't see the change in the number of CPUs change, this can be verified with cat /proc/cpuinfo. You can modify the dtb directly by first converting it to dts human readable form: https://stackoverflow.com/questions/14000736/tool-to-visualize-the-

Re: [gem5-users] Is the dtb file important for FS simulation?

2018-03-06 Thread Ciro Santilli
core counts: https://github.com/gem5/gem5/blob/a66fe6a8c36c9ab49cb3a35065bfc645d51036c8/system/arm/dt/Makefile#L48 So hacking up that Makefile is another good option to get your dtbs. On Tue, Mar 6, 2018 at 10:55 AM, Ciro Santilli wrote: > Yes, if you don't modify the dtb, the Linux kernel w

Re: [gem5-users] question

2018-03-06 Thread Ciro Santilli
What is your gem5 commit? I had that problem but it went away after https://gem5-review.googlesource.com/c/public/gem5/+/7301 apparently. Here is a fully working setup: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/6b099ebd82c81a093922cda6ab5385e86238ec54#gem5 , use "-a x86_64".

Re: [gem5-users] Run benchmark in JVM in linux OS by gem5

2018-03-07 Thread Ciro Santilli
On Wed, Mar 7, 2018 at 6:48 AM, Boyang Xu <6172...@gmail.com> wrote: > > Hi, all > > I am supposed to simulate an application scenario by gem5. There is a server > with Linux OS, and I initialize an Android VM or JVM by this server. and then > some Android or Java benchmarks will be executed in V

[gem5-users] How to boot the Linux kernel with initrd or initramfs?

2018-03-13 Thread Ciro Santilli
https://stackoverflow.com/questions/49261801 With QEMU, I can use either use `-initrd '${images_dir}/rootfs.cpio` for the initrd, or pass the initramfs image directly to `-kernel Image`. But if I try the `initramfs` image with gem5 `fs.py --kernel Image` it fails with: fatal: Could not load

[gem5-users] How to use 9p virtio mounts?

2018-03-13 Thread Ciro Santilli
https://stackoverflow.com/questions/49268571/how-to-use-9p-virtio-mounts-in-gem5 I want to mount folders from host without networking on a Linux guest, much as explained for QEMU at: https://superuser.com/questions/628169/how-to-share-a-directory-with-the-host-without-networking-in-qemu I have tr

[gem5-users] How to reliably break at start_kernel when debugging the Linux kernel?

2018-03-15 Thread Ciro Santilli
https://stackoverflow.com/questions/49296092 On QEMU, I can use `-S -s`, which waits for GDB to connect before starting the simulation How to do the same thing on gem5, hopefully with the `fs.py` script? By the time I swap terminals and connect, the simulation has already gone past `start_kernel

[gem5-users] How to do port forwarding from guest to host and vice versa?

2018-03-15 Thread Ciro Santilli
https://stackoverflow.com/questions/48941494 For example, I want to be able to do on guest: nc -l -p 45455 and then on host: echo asdf | nc localhost 45455 and have the guest receive the `asdf`. My initial goal with this is to setup `gdbserver`, but this could also be used for fun th

Re: [gem5-users] Full-system restoring from checkpoint with different runscript

2018-03-21 Thread Ciro Santilli
On Wed, Mar 21, 2018 at 10:12 AM, Timon Evenblij wrote: > Hi all, > > A quick question: Is it possible to restore from a checkpoint with a > different runscript then used during the first boot? > This way, I could run a lot of benchmarks without losing time waiting for > gem5 to boot. > I know I c

Re: [gem5-users] adding benchmarks to disk images results in unreadable inode blocks

2018-03-23 Thread Ciro Santilli
I have successfully run PARSEC with this setup: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/29908dffb2726b0819fdf95240ae513dddb8af48#parsec-benchmark and never encountered those problems. Please also provide more detailed reproduction steps if you can. On Fri, Mar 23, 2018 at 1

[gem5-users] aarch64 GDB step debugging fails with "Remote 'g' packet reply is too long"

2018-03-25 Thread Ciro Santilli
https://github.com/gem5/gem5/issues/22 Has anyone ever made this work? 68af229490fc811aebddf68b3e2e09e63a5fa475, gdb 7.11.1 built by Buildroot An extremely similar aarch64 setup works for QEMU, and x86 and arm both work for gem5, just aarch64 does not. gem5 command: ``` M5_PATH='/home/ciro/bak

Re: [gem5-users] Full-system restoring from checkpoint with different runscript

2018-03-26 Thread Ciro Santilli
eems to be working, but I want to be sure I don't > run into issues because of this. > > Regards > > Timon > > On Wed, Mar 21, 2018 at 4:47 PM, Ciro Santilli > wrote: >> >> On Wed, Mar 21, 2018 at 10:12 AM, Timon Evenblij >> wrote: >> > Hi al

[gem5-users] How to use m5 readfile and m5 execfile?

2018-03-27 Thread Ciro Santilli
https://stackoverflow.com/questions/49516399 I expect `m5 readfile` will read a file from the host, and `m5 execfile` will execute a file from host, but the documentation is scarce and I couldn't get them to work. Maybe the path is determined by `readfile=` in `m5out/config.ini`, but I don't know

Re: [gem5-users] New image of Ubuntu 17.x with custom kernel (append build string -gem5) - kernel Panic

2018-03-27 Thread Ciro Santilli
If the init process is exits or is killed, the kernel panics, and shows the message: Kernel panic - not syncing: Attempted to kill init! exitcode you can it out with a minimal init that just prints hello world and exits, e.g. along: https://unix.stackexchange.com/questions/122717/custom-linux-dis

Re: [gem5-users] Running SPLASH2 FFT Application in Full System ARM Architecture

2018-03-28 Thread Ciro Santilli
This is likely a problem with the interpreter. Either check: - the shebang line #! of FFT if it is a script - if it is an executable, the dynamic loader with readelf -a, look for a line of type: "Requesting program interpreter: /lib64/ld-linux-x86-64.so.2" and make sure the program exists. I al

Re: [gem5-users] PARSEC illegal instruction

2018-03-30 Thread Ciro Santilli
How did you cross compile PARSEC exactly? It requires patches to do so AFAIK. I have most of splash2x working at: https://github.com/ cirosantilli/linux-kernel-module-cheat/tree/52358543846d7d9524c79908638951 d8a9bbdb64#parsec-benchmark (except some documented segfaults), and the patches are at: h

Re: [gem5-users] Multicore X86 full-system simulation

2018-03-30 Thread Ciro Santilli
Hello Xin, Here is a setup that just works: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/52358543846d7d9524c79908638951d8a9bbdb64#gem5-getting-started In particular: - it uses this kernel config file: https://github.com/cirosantilli/linux-kernel-module-cheat/blob/52358543846d7d

Re: [gem5-users] Dynamic instruction exec trace in SE mode

2018-03-30 Thread Ciro Santilli
Have you tried gem5.opt --debug-flags=Exec as explained at http://www.gem5.org/Trace_Based_Debugging ? I can't test it on SE because I can't get SE working at all: https://stackoverflow.com/questions/48959349/how-to-solve-fatal-kernel-too-old-when-running-gem5-in-syscall-emulation-se-m , how did y

Re: [gem5-users] Dynamic instruction exec trace in SE mode

2018-03-30 Thread Ciro Santilli
at happening? > > As for your problem, I have never encountered it, but it might be because > I'm running Ubuntu 16.04 at the moment and I haven't updated gem5 since > commit 9651c0278d93bf5c4620e198baac975f0c84e9a0. > > On Sat, Mar 31, 2018 at 6:43 AM, Ciro Santilli >

Re: [gem5-users] Time taken to build executable is more than an hour

2018-04-02 Thread Ciro Santilli
I build in 10 minutes with this native machine: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/1f5baf5371f0670f7724f53ddb7793701ed84b9e#p51 Ubuntu 17.10 near master. It happened to me a few times that the build became very slow, but I could not understand why and it went away when

Re: [gem5-users] [EXT] Re: New image of Ubuntu 17.x with custom kernel (append build string -gem5) - kernel Panic

2018-04-04 Thread Ciro Santilli
on-2.7.13/lib/libpython2.7.so. > 1.0(PyEval_EvalCodeEx+0x830)[0x7f4f631d5ea0] > ../../common/software/el6/python-2.7.13/lib/libpython2.7.so. > 1.0(PyEval_EvalFrameEx+0x5aba)[0x7f4f631d1cea] > ../../common/software/el6/python-2.7.13/lib/libpython2.7.so. > 1.0(PyEval_EvalCodeEx+0x830)[0

Re: [gem5-users] gem5 crash with some cpu related error in real simulation after restore from a checkpoint

2018-04-05 Thread Ciro Santilli
Both KVM and DerivO3CPU without restore were broken for me without checkpoints when I last tried them: - https://github.com/gem5/gem5/issues/16 - https://www.mail-archive.com/gem5-users@gem5.org/msg15048.html On Thu, Apr 5, 2018 at 4:12 AM, Da Zhang wrote: > Hey guys, > > My gem5 real simulati

Re: [gem5-users] Multicore x86 simulation hangs during system booting

2018-04-05 Thread Ciro Santilli
My setup https://github.com/cirosantilli/linux-kernel-module-cheat/tree/ 32920fd25d6473934a89c48c56f4e12d03abde85#gem5-getting-started correctly boots x86_64 with 4 cores (./run -c 4), and `cat /proc/cpuinfo` shows them. I haven't however used your exact same build / run options: RUBY=True PROTOCO

Re: [gem5-users] [EXT] Re: New image of Ubuntu 17.x with custom kernel (append build string -gem5) - kernel Panic

2018-04-05 Thread Ciro Santilli
went forward in my boot flow. > > > > Regards, > > Vitorio. > > > > *From:* gem5-users [mailto:gem5-users-boun...@gem5.org] *On Behalf Of *Ciro > Santilli > *Sent:* Wednesday, April 4, 2018 2:39 PM > *To:* gem5 users mailing list > *Subject:* Re: [gem5-user

Re: [gem5-users] [EXT] Re: New image of Ubuntu 17.x with custom kernel (append build string -gem5) - kernel Panic

2018-04-05 Thread Ciro Santilli
attempt using a Hello world and once I removed the > –smt parameter it worked. > > > > Any taugths anybody ? > > > > > > *From:* gem5-users [mailto:gem5-users-boun...@gem5.org] *On Behalf Of *Ciro > Santilli > *Sent:* Wednesday, April 4, 2018 2:39 PM > *To:* gem

Re: [gem5-users] [EXT] Re: New image of Ubuntu 17.x with custom kernel (append build string -gem5) - kernel Panic

2018-04-10 Thread Ciro Santilli
nvironment it is working, I found how to add my own binaries, > however I try to execute them it keeps saying it is not found, despite it > shows up on ls. > > > > Regards, > > Vitorio > > > > > > From: gem5-users [mailto:gem5-users-boun...@gem5.org] On Behal

Re: [gem5-users] [EXT] Re: New image of Ubuntu 17.x with custom kernel (append build string -gem5) - kernel Panic

2018-04-10 Thread Ciro Santilli
gt; > Thanks for all the help so far. > Rebuilding the image and will test it again in a few minutes. > > > > -Original Message- > From: gem5-users [mailto:gem5-users-boun...@gem5.org] On Behalf Of Ciro > Santilli > Sent: Tuesday, April 10, 2018 11:06 AM > To: gem5 user

[gem5-users] Has anyone ever produced an Spectre or Meltdown proof of concept running on gem5 or would that be feasible?

2018-04-11 Thread Ciro Santilli
Does not need to be running on top of a full Linux, could be just some bare-metal setup that jumps to userland and then breaks memory separation. This could be a fun educational project, and would increase gem5's fame :-) ___ gem5-users mailing list gem5

Re: [gem5-users] Has anyone ever produced an Spectre or Meltdown proof of concept running on gem5 or would that be feasible?

2018-04-11 Thread Ciro Santilli
ool. I am planning on > writing up a blog post on this, but I need to find the time. > > Cheers, > Jason > > On Wed, Apr 11, 2018 at 6:07 AM Ciro Santilli > wrote: >> >> Does not need to be running on top of a full Linux, could be just some >> bare-metal setup th

Re: [gem5-users] Is it possible to dump stats periodically in SE mode?

2018-04-12 Thread Ciro Santilli
Related: https://www.mail-archive.com/gem5-users@gem5.org/msg01431.html On Thu, Apr 12, 2018 at 7:31 PM, Xin Zhan wrote: > Hi all, > > I know the gem5ops like dumpstats [delay [period]] can be used in FS mode to > periodically dump stats, but anyone has any idea about how to do it in SE > mode? >

Re: [gem5-users] ARM FS Boot hang

2018-04-13 Thread Ciro Santilli
What is your gem5 version, full gem5 CLI, and how did you get the images? Here is a working setup: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/7b0bd10c0b83cf88ef5676d54d1c927c3ea57436#number-of-cores On Fri, Apr 13, 2018 at 9:40 PM, Haiyang Han wrote: > Hi all, > > I am trying

Re: [gem5-users] ARM FS Boot hang

2018-04-13 Thread Ciro Santilli
Forgot to say: one possibility is to GDB step debug the Linux kernel: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/7b0bd10c0b83cf88ef5676d54d1c927c3ea57436#gem5-gdb On Sat, Apr 14, 2018 at 4:24 AM, Ciro Santilli wrote: > What is your gem5 version, full gem5 CLI, and how did

Re: [gem5-users] Multi-threading on ARM timing CPU

2018-04-17 Thread Ciro Santilli
On Tue, Apr 17, 2018 at 8:10 AM, Singh, G. wrote: > Hi All, > > > > I am trying to run a simple multi-threaded program on ARM processor with 4 > cpu's and 4 threads. > > This program works perfectly for DerivO3CPU but the same program on timing > model gives the errors given > > below. Actually I

Re: [gem5-users] Multi-threading on ARM timing CPU

2018-04-17 Thread Ciro Santilli
2cache --l2_size=2kB > > But if I use DerivO3CPU it works fine. > > Best > Gagan > > -----Original Message- > From: gem5-users [mailto:gem5-users-boun...@gem5.org] On Behalf Of Ciro > Santilli > Sent: Tuesday, 17 April 2018 10:04 > To: gem5 users mailing list > Subj

Re: [gem5-users] Nan & 0 for x86 multicore se simulation

2018-04-19 Thread Ciro Santilli
Hi Liu, I don't know the cause of your problem, but I have the following recommendation: Can you try it on gem5 master to see if it is still present? It would make it much more likely that people will know the solution to the problem, or bother to reproduce it. On Thu, Apr 19, 2018 at 11:45 AM,

Re: [gem5-users] Nan & 0 for x86 multicore se simulation

2018-04-19 Thread Ciro Santilli
master is the git name for the most recent version. You can obtain it with: git clone https://gem5.googlesource.com/public/gem5 as explained at: http://gem5.org/Download I highly recommend that you become familiar with git if you are not already, it will greatly help your software projects. On

Re: [gem5-users] Thread migration using m5ops

2018-04-19 Thread Ciro Santilli
On Thu, Apr 19, 2018 at 5:03 PM, Bryce Patel wrote: > Hello, > > I am very new to GEM5 and I am wondering how best to simulate thread > migration on an asymmetric multicore system. I was thinking I could take a > checkpoint partway through execution using the checkpoint m5op, then use the > switch

Re: [gem5-users] run gem5 in fs mode

2018-04-19 Thread Ciro Santilli
Here is an alternative setup that just works in a single command: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/2852fe1989a6f1ab546e9a4fa88724423b3949f5#gem5 On Thu, Apr 19, 2018 at 8:28 PM, Mandrita wrote: > Hello all, > > I am beginner in gem5. I am using ARM archtecture. When

Re: [gem5-users] Thread migration using m5ops

2018-04-19 Thread Ciro Santilli
a syscall. > Thanks. Bryce Patel > > On Thu, Apr 19, 2018 at 1:08 PM, Ciro Santilli > wrote: >> >> On Thu, Apr 19, 2018 at 5:03 PM, Bryce Patel >> wrote: >> > Hello, >> > >> > I am very new to GEM5 and I am wondering how best to simulate thread

Re: [gem5-users] Multi-threading on ARM timing CPU

2018-04-19 Thread Ciro Santilli
l Message- > From: gem5-users [mailto:gem5-users-boun...@gem5.org] On Behalf Of Ciro > Santilli > Sent: Tuesday, 17 April 2018 14:41 > To: gem5 users mailing list > Subject: Re: [gem5-users] Multi-threading on ARM timing CPU > > On Tue, Apr 17, 2018 at 10:15 AM, Singh, G. wro

Re: [gem5-users] Multi-threading on ARM timing CPU

2018-04-20 Thread Ciro Santilli
koverflow.com/questions/48959349/how-to-solve-fatal-kernel-too-old-when-running-gem5-in-syscall-emulation-se-m > Best > Gagan > > -Original Message- > From: gem5-users [mailto:gem5-users-boun...@gem5.org] On Behalf Of Ciro > Santilli > Sent: Friday, 20 April 2018 00:2

Re: [gem5-users] aarch64 GDB step debugging fails with "Remote 'g' packet reply is too long"

2018-04-22 Thread Ciro Santilli
Ping :-) On Sun, Mar 25, 2018 at 11:52 PM, Boris Shingarov wrote: > I thought Paul and I fixed this back in September. > I'll investigate in more detail tomorrow. > > -"gem5-users" wrote: - > To: gem5 users mailing list > From: Ciro Santilli > Sent

Re: [gem5-users] Errors while compiling FS files

2018-04-23 Thread Ciro Santilli
In case you can't get that setup working, here is an alternative working Parsec setup: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/14965a40d27c8d9d1ff5b023ace827b288a024ef#parsec-benchmark On Mon, Apr 23, 2018 at 10:23 AM, Raman Arora wrote: > Hi All, > > I am trying to compile

Re: [gem5-users] Errors while compiling FS files

2018-04-23 Thread Ciro Santilli
Reading current count from inactive timer. > fatal: Kernel is mapped to invalid location (not memory). kernelStart > 0x(fc31) - kernelEnd 0x(fc899860) > 0xfc31:0xfc0000899860 > Memory Usage: 696048 KBytes > > Raman > > On Mon, Apr 23, 2018 at

Re: [gem5-users] ARM Cross Compile Help

2018-04-23 Thread Ciro Santilli
https://askubuntu.com/questions/904685/cross-compilation-for-arm-error-no-such-file-or-directory/1027651#1027651 On Tue, Apr 24, 2018 at 3:41 AM, Bryce Patel wrote: > Hello, > > I am having encountering an issue with a cross compiled Hello World program. > I used gcc-arm-linux-gnueabihf to cross

Re: [gem5-users] ARM big.LITTLE simulation, only one core working?

2018-04-25 Thread Ciro Santilli
I will be trying to reproduce this soon I hope, but in the meantime, can you check things like: - does /proc/cpuinfo show multiple cpus? - do kernel boot messages show multiple cpus being brought up? - does the problem happen for other content, or just PARSEC? E.g. you could try to install and run

Re: [gem5-users] ISA that gem5 works best in the multi-core FS simulation

2018-04-26 Thread Ciro Santilli
The optional ARM Linux kernel patches claim to support up to 255 cores: https://www.mail-archive.com/gem5-dev@gem5.org/msg24593.html so I expect that this has been tested and works, although I haven't tried it myself yet. If that does not work, please send an email explaining the problem and we'll

Re: [gem5-users] Linux 4.x kernel

2018-04-27 Thread Ciro Santilli
Here is another working v4.16 setup: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/7c16eb6ec1c98ac605a9324f37a0d13a8349e756#9p On Fri, Apr 27, 2018 at 5:52 PM, Jochen Hollmann wrote: > So far I have been using the default linux kernel > x86_64-vmlinux-2.6.22.9.smp, however I have

Re: [gem5-users] ARM 4-core FS fatal error:

2018-04-28 Thread Ciro Santilli
I don't know what causes that problem, but here is a working setup: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/0b9157c91912685b0c59773126e1c1fefc7eea84#gem5 After build, run: ./run -a aarch64 -g -c 4 and it boots correctly, and cat /proc/cpuinfo shows 4 cpus. The scripts th

Re: [gem5-users] link m5op with PARSEC hook library for ARM ISA

2018-04-28 Thread Ciro Santilli
Have you tried include/gem5/m5ops.h ? Found with "git ls-files | grep m5ops.h", and it is included by m5.c. Alternatively, this other ARM PARSEC tutorial just defines the functions directly: https://github.com/arm-university/arm-gem5-rsk/blob/aa3b51b175a0f3b6e75c9c856092ae0c8f2a7cdc/parsec_patches

[gem5-users] How to build gem5 out of tree?

2018-04-29 Thread Ciro Santilli
Is it possible to put all of gem5 compilation output, including intermediate files, into a specified directory? By default it all goes under build/, is it possible to change that? ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.org/cgi-bin/m

Re: [gem5-users] ARM cpu-type

2018-04-29 Thread Ciro Santilli
http://gem5.org/wiki/images/0/0e/ASPLOS2017_gem5_tutorial.pdf page 97 contains some interesting notes. Also have a look at the wiki pages: - http://gem5.org/CPU_Models - http://www.gem5.org/O3CPU Not really enough info I feel though, when I understand all of those really well I will try to make

Re: [gem5-users] ARM big.LITTLE simulation, only one core working?

2018-04-30 Thread Ciro Santilli
; CPU implementer: 0x41 > CPU architecture: 8 > CPU variant: 0x0 > CPU part: 0xc0f > CPU revision: 0 > > Is it intended for the ARMv8 big.LITTLE info to output the same CPU part > when it is running O3_ARM_v7a_3 and Minor CPUs? > > Thanks, > Peter de Groot >

Re: [gem5-users] Error message

2018-05-04 Thread Ciro Santilli
Hi Muhammad, you are more likely to get answers by following these bug report recommendations: https://github.com/cirosantilli-work/gem5-issues/issues/7 On Fri, May 4, 2018 at 8:32 AM, Muhammad Avais wrote: > Dear All, > > Can anyone suggest what this error message indicates > > read error, exit

[gem5-users] How to get graphical output and user touch / keyboard / mouse input in a full system simulation?

2018-05-06 Thread Ciro Santilli
Hopefully with fs.py, but not necessarily. For example, I have some x86 BIOS example that draw a line on the screen on QEMU, and I'd like to see that work on gem5 too. Also interested in ARM. ___ gem5-users mailing list gem5-users@gem5.org http://m5sim.

Re: [gem5-users] Using Simpoints in gem5

2018-05-06 Thread Ciro Santilli
What do you mean by poor results? My experiments with changes of cache size and CPU type gave results which seem consistent: - https://github.com/cirosantilli/linux-kernel-module-cheat/blob/237b7603b7972142a99aab1a609aef12522d0ef7/gem5-bench-cache#L39 - https://stackoverflow.com/questions/49011

Re: [gem5-users] Access stats during runtime

2018-05-06 Thread Ciro Santilli
Hi Elena, is this different from: http://m5sim.org/cgi-bin/mailman/private/gem5-users/2018-May/031456.html ? Please provide more details: what do you want to achieve? What stat do you want to read and why? On Sun, May 6, 2018 at 10:31 PM, Woo L.L. wrote: > Hi all, > > I would like to access the

Re: [gem5-users] Access stats during runtime

2018-05-06 Thread Ciro Santilli
de the disk image. > Thanks. > > Regards > Elena > > On 06/05/2018, 11:05 PM, "gem5-users on behalf of Ciro Santilli" > wrote: > >>Hi Elena, is this different from: >>http://m5sim.org/cgi-bin/mailman/private/gem5-users/2018-May/031456.html >>? &g

Re: [gem5-users] Access stats during runtime

2018-05-07 Thread Ciro Santilli
ds, > Elena > >> On 7 May 2018, at 12:23 AM, Ciro Santilli wrote: >> >> Do you want to access a host file (stats.txt) from a Linux guest? >> >> If that is the case, can you either use `m5 readfile` (maybe not since >> taken by your rc script, and only wor

Re: [gem5-users] Using Simpoints in gem5

2018-05-07 Thread Ciro Santilli
Hi Pawan, Remember to always reply to both me and the gem5-users list to share the knowledge, I only noticed this on you latest message. Proposal for a more modern issue tracker at: https://www.mail-archive.com/gem5-dev@gem5.org/msg24951.html > AttributeError: object 'System' has no attribute 'sw

Re: [gem5-users] chroot failing on full system files

2018-05-08 Thread Ciro Santilli
Alternative setup that makes everything just work in a single command: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/04f13937f4a68f44c5d302954892eac8a79bade5#parsec-benchmark-without-parsecmgmt On Wed, May 9, 2018 at 4:13 AM, Raman Arora wrote: > Hi All, > > I am trying to run th

Re: [gem5-users] Using Simpoints in gem5

2018-05-08 Thread Ciro Santilli
Hi Pawan, isn't it the situation here the same for checkpoints and simpoints? https://www.mail-archive.com/gem5-users@gem5.org/msg15457.html On Wed, May 9, 2018 at 4:24 AM, Pawan Joshi wrote: > Hello > Is it not possible to use the checkpoints generated using an Atomic simple > CPU to restore the

Re: [gem5-users] ARM with 64 cores FS hanges

2018-05-09 Thread Ciro Santilli
As mentioned at: https://www.mail-archive.com/gem5-dev@gem5.org/msg24593.html you need to: - use the ARM linux kernel fork from: https://gem5.googlesource.com/arm/linux/+/refs/heads/gem5/v4.15 in particular the GICv2 extension script commit - hack up gem5: - fs.py to set `root.system.realview.gi

Re: [gem5-users] ARM with 64 cores FS hanges

2018-05-09 Thread Ciro Santilli
le. I actually meant the > system hangs with 16 cores. > Do I still need to set root.system.realview.gic.gem5_extensions to True in > fs.py? > Is the GICv2 extension only compatible with Linux kernel 4.15? Currently I'm > using 4.4. > > Thanks, > Haiyang > > On W

[gem5-users] X86/X64 uops

2018-05-10 Thread Ciro Santilli
I'm not sure if this helps, but if you do `gem5.opt --debug-flags=Exec` it does dump uops with format: 25007000: system.cpu T0 : @start_kernel: stp 25007000: system.cpu T0 : @start_kernel.0 : addxi_uop ureg0, sp, #-112 : IntAlu : D=0xff8008913f90 25007500: system.cpu T0 : @start_ker

Re: [gem5-users] No working init found

2018-05-10 Thread Ciro Santilli
The init=/some/path linux kernel command line must match an executable present in the disk image. Have you passed the init= option? BTW, your email shows on my spam, I think you haven't configured your email client to authenticate correctly, ensure that you can send emails across two test accounts

Re: [gem5-users] No working init found

2018-05-11 Thread Ciro Santilli
gt; when I use Qemu to create disk, I have "/" and "/boot", no swap and others. > > On Thursday, May 10, 2018, 10:32:25 AM PDT, Ciro Santilli > wrote: > > > I don't think so: a quick test with QEMU shows that this message > happens when the path to init

Re: [gem5-users] enum header file

2018-05-11 Thread Ciro Santilli
Immediately we suspect that the file is auto-generated during build. So we do a shell find in the output directory: ``` find . -name OpClass.hh ``` This leads us to: ./build/ARM/enums/OpClass.hh Open the file, look for interesting unique identifiers, and git grep that on main source. So: ```

Re: [gem5-users] compile parsec 3.0 with Cross-Compile:

2018-05-12 Thread Ciro Santilli
I don't think those patches are enough to run all benchmarks, and I have never seen a set of patches that just worked for all benchmarks so far. I have part of parsec benchmarks working at: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/d1f676a680aca90e525f14d90605393a9742d09b#pars

Re: [gem5-users] compile parsec 3.0 with Cross-Compile:

2018-05-12 Thread Ciro Santilli
inaro arch64 image or i add the patch for arch64 i did > not understand well ?? > because I need to copy parsec 3.0 in the linaro image to run with gem5. > > thanks; > > > 2018-05-12 17:28 GMT+02:00 Ciro Santilli : >> >> I don't think those patches are enough to

Re: [gem5-users] compile parsec 3.0 with Cross-Compile:

2018-05-12 Thread Ciro Santilli
well ?? >> because I need to copy parsec 3.0 in the linaro image to run with gem5. >> >> thanks; >> >> >> 2018-05-12 17:28 GMT+02:00 Ciro Santilli : >>> >>> I don't think those patches are enough to run all benchmarks, and I >>>

Re: [gem5-users] No checkpoint file generated

2018-05-15 Thread Ciro Santilli
What is the full gem5 command line cli and gem5 version? Here is a working setup: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/99382df5ff1c1933be1371d060a221195608a9a5#gem5 On Wed, May 16, 2018 at 2:13 AM, Ryan Wang wrote: > Hi all, > I'm able to boot a kernel with the given im

Re: [gem5-users] How to get graphical output and user touch / keyboard / mouse input in a full system simulation?

2018-05-16 Thread Ciro Santilli
Got it half working for ARM: https://stackoverflow.com/a/50364864/9160762 On Sun, May 6, 2018 at 3:42 PM, Ciro Santilli wrote: > Hopefully with fs.py, but not necessarily. > > For example, I have some x86 BIOS example that draw a line on the > screen on QEMU, and I'd like to

[gem5-users] fs.py --generate-dtb Linux kernel boot simulation fails for aarch64 with "Exiting @ tick because simulate() limit reached"

2018-05-17 Thread Ciro Santilli
https://github.com/cirosantilli-work/gem5-issues/issues/18 I had both aarch64 and arm working with --dtb-file using: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/0678bd82ac61f0decce3480fef798bd5edbfd0c3#gem5 But now I've learnt about the awesome --generate-dtb option, which woul

Re: [gem5-users] fs.py --generate-dtb Linux kernel boot simulation fails for aarch64 with "Exiting @ tick because simulate() limit reached"

2018-05-17 Thread Ciro Santilli
read RealView I/O at offset 0x60 that doesn't exist warn: Tried to read RealView I/O at offset 0x48 that doesn't exist warn: Tried to write RVIO at offset 0xa8 (data 0) that doesn't exist Exiting @ tick 18446744073709551615 because simulate() limit reached ``` On Thu, May 17, 2018 a

Re: [gem5-users] free coud

2018-05-21 Thread Ciro Santilli
Are you affiliated with an university or enterprise? What is your end goal in using gem5? Does it justify the cloud investment in your project? How long does your build / Linux kernel boot take? Here are some references: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/58face41ac84

Re: [gem5-users] parsec3.0 compilation by aarch64-linux-gnu-gcc cross compiler.

2018-05-24 Thread Ciro Santilli
Hi commerce, I'm maintaining a fork of parsec at: https://github.com/cirosantilli/parsec-benchmark I don't have all benchmarks working, but I documented how everything fails, and if you get something working, please send a pull request there as well. On Wed, May 23, 2018 at 12:51 PM, commerce _co

Re: [gem5-users] free coud

2018-05-24 Thread Ciro Santilli
a cloud to do the sumulation quickly, please if you have any idea help > me and thank you. > > 2018-05-21 16:24 GMT+02:00 Ciro Santilli : >> >> Are you affiliated with an university or enterprise? >> >> What is your end goal in using gem5? Does it justify the cloud

Re: [gem5-users] execution problem parsec3.0

2018-05-26 Thread Ciro Santilli
Hi commerce, I don't know what is your setup, all I can say is that I have a few parsec benchmarks working at on my setup: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/fe01ade54d0563b5dc8d8a7826d46b153d9a850a#parsec-benchmark You will also notice that parsecmgmt scripts are too s

[gem5-users] How to run a dynamically linked executable syscall emulation mode se.py in gem5?

2018-05-26 Thread Ciro Santilli
https://stackoverflow.com/questions/5054/how-to-run-a-dynamically-linked-executable-syscall-emulation-mode-se-py-in-gem5 After https://stackoverflow.com/questions/48959349/how-to-solve-fatal-kernel-too-old-when-running-gem5-in-syscall-emulation-se-m I managed to run a statically linked hello

Re: [gem5-users] How to run a dynamically linked executable syscall emulation mode se.py in gem5?

2018-05-28 Thread Ciro Santilli
; running on an ARM native machine) or if you could use QEMU user mode to get > dynamically linked executables to work on a non-native machine. Both of > these cases would likely require some re-working of the code. > > Cheers, > Jason > > On Sat, May 26, 2018 at 11:56 AM Ciro Santil

Re: [gem5-users] execution problem hello.c in architecture armv8 big.LITTLE

2018-05-31 Thread Ciro Santilli
Likely incompatible compiler using wrong dynamic loader, do "file hello", see "interpreter /some/path", and check if "/some/path" is present on guest. On Thu, May 31, 2018 at 9:33 PM, commerce _com wrote: > Hi all, > > i need to run hello.c in an architecture armv8 big.LITTLE > I compile hello_wo

Re: [gem5-users] execution problem hello.c in architecture armv8 big.LITTLE

2018-05-31 Thread Ciro Santilli
;> >> but I did not understand how to solve this problem; Please. >> >> >> com_. >> >> 2018-05-31 23:02 GMT+02:00 Ciro Santilli : >>> >>> Likely incompatible compiler using wrong dynamic loader, do "file >>> hello", see

Re: [gem5-users] execution problem hello.c in architecture armv8 big.LITTLE

2018-06-03 Thread Ciro Santilli
t has no relation with how the binary was >>> compiled. >>> >>> My intuition is that the directory is in fact "/root/parsec". It's just a >>> guess based on the absence of home directory for root user in my Ubuntu >>> (although I don't know which

Re: [gem5-users] Aborted (core dumped)

2018-06-04 Thread Ciro Santilli
I think bootrscript is just an arbitrary script that your must create yourself to run the benchmark you want, or give you a shell. It must be being used from the /init of that image to set the m5 readfile path, and then run it. This kind of thing allows you to checkpoint at the end of boot, but s

Re: [gem5-users] cloud for gem5

2018-06-04 Thread Ciro Santilli
Sorry, I don't know of anything free specifically for gem5 :-( On Mon, Jun 4, 2018 at 2:04 PM, commerce _com wrote: > Hi Ciro, > do you know of a free cloud to use gem5, because I always took a lot of time > to run the kernel armv8 on the fs.big.LITTLE mode. > > Thanks, > > com_.

Re: [gem5-users] Does the system configurations matter when taking checkpoints

2018-06-04 Thread Ciro Santilli
Cache sizes, at least, can be varied for sure, and do take effect: https://github.com/cirosantilli/linux-kernel-module-cheat/tree/d1ad6d8f7a4811f22f83166deaeb66ff2c54937d#gem5-cache-size I'd recommend trying out similar setups for other parameters you care about and see if they take effect. On Mo

Re: [gem5-users] Compilation error

2018-06-05 Thread Ciro Santilli
Does it happen on a full clean build? What is your gem5 git revision? What is your OS? What is your compiler version? :-) On Mon, Jun 4, 2018 at 9:44 PM, Mao Ye wrote: > Hi all, > > I have been using gem5 for a while without any problem. But today I met a > problem with my new modification and it

Re: [gem5-users] Multi-core execution - gem5 & big.LITTLE total cores

2018-06-05 Thread Ciro Santilli
On Tue, Jun 5, 2018 at 3:21 PM, Georgios S. Bousdras wrote: > Dear all, > > I wonder if It is possible to run the gem5 on a multi-core mode(like the > scons build/ARM/gem5.opt -j “n”) in order to reduce the simulation time. > No: https://github.com/cirosantilli-work/gem5-issues/issues/15 > In ad

Re: [gem5-users] fs_bigLITTLE.py CPU: failed to come online

2018-06-08 Thread Ciro Santilli
armv8_gem5_v1_big_little_4_4 means 4 big and 4 little. If you run it without anything other than --little-cpus=4 --big-cpus=4 is likely to blow up. Failed to come online means the kernel could not properly find the CPU and won't use it at all. On Fri, Jun 8, 2018 at 3:57 PM, Georgios S. Bousdras

Re: [gem5-users] Getting a C++ program (with tensorflow) to work.

2018-06-11 Thread Ciro Santilli
How to build that program step by step? What are the versions of your OS, compiler and gem5 revision? Are you able to run other shared libraries fine? Are you wearing a tie? Use GDB step debugging or tracing to find out at which instruction / point of code it is blowing up. On Mon, Jun 11, 2018 at

[gem5-users] How to attach multiple disk images a simulation with gem5 fs.py?

2018-06-14 Thread Ciro Santilli
At https://www.mail-archive.com/gem5-users@gem5.org/msg15233.html Jason mentioned the technique of attaching two disk images to restore a checkpoint and run a different benchmark. Is it possible to specify multiple disk images when using fs.py? From the source code I don't think it is currently su

Re: [gem5-users] How to attach multiple disk images a simulation with gem5 fs.py?

2018-06-15 Thread Ciro Santilli
w. > I will probably make a cleaner way of doing this in the near future. > > However, the same method did not apply to a custom full-system script I am > working on, so I am still investigating. > > On Thu, Jun 14, 2018 at 7:23 PM Ciro Santilli > wrote: > >> At https://

[gem5-users] ARM big.LITTLE

2018-06-17 Thread Ciro Santilli
bootscript.rcS is the default m5 readfile file that fs_bigLITTLE.py sets at: https://github.com/gem5/gem5/blob/05c4c2b566ce351ab217b2bd7035562aa7a76570/configs/example/arm/fs_bigLITTLE.py#L64 panic: could not open file is just the default message that gem5 gives when that file is not found. So,

  1   2   3   4   >