Re: [gem5-dev] Review Request 2711: mem: Remove templates in cache model

2015-04-02 Thread Andreas Hansson
://reviews.gem5.org/r/2711/#review6008 --- On March 30, 2015, 9:16 a.m., Andreas Hansson wrote: --- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r

Re: [gem5-dev] Review Request 2717: mem: Snoop into caches on uncacheable accesses

2015-04-02 Thread Andreas Hansson
On April 1, 2015, 4:28 p.m., Steve Reinhardt wrote: src/mem/cache/cache_impl.hh, line 1724 http://reviews.gem5.org/r/2717/diff/1/?file=44386#file44386line1724 is this really necessary? I'm curious why setting this flag actually breaks things Andreas Hansson wrote: We

[gem5-dev] Review Request 2723: config: Remove memory aliases and rely on class name

2015-04-02 Thread Andreas Hansson
/Options.py 8a7285d6197e configs/dram/sweep.py 8a7285d6197e Diff: http://reviews.gem5.org/r/2723/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2724: mem: Create a request copy for deferred snoops

2015-04-02 Thread Andreas Hansson
at the right time. Diffs - src/mem/cache/cache_impl.hh 8a7285d6197e src/mem/cache/mshr.cc 8a7285d6197e Diff: http://reviews.gem5.org/r/2724/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org

[gem5-dev] Review Request 2725: mem: Remove RubyMemoryControl and rely on DRAMCtrl

2015-04-02 Thread Andreas Hansson
8a7285d6197e Diff: http://reviews.gem5.org/r/2725/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

Re: [gem5-dev] Review Request 2711: mem: Remove templates in cache model

2015-04-02 Thread Andreas Hansson
/lru.hh 8a7285d6197e src/mem/cache/tags/lru.cc 8a7285d6197e src/mem/cache/tags/random_repl.hh 8a7285d6197e src/mem/cache/tags/random_repl.cc 8a7285d6197e Diff: http://reviews.gem5.org/r/2711/diff/ Testing --- Thanks, Andreas Hansson

[gem5-dev] gem5 User Workshop 2015 at ISCA-42

2015-04-01 Thread Andreas Hansson
points [1.5 hours] * Wrap-up and next steps [45 minutes] More information will be available at: http://www.gem5.org/User_workshop_2015 We look forward to your contributions and hope to see you there. Workshop organisers: Ali Saidi, ARM Andreas Hansson, ARM Anthony Gutierrez, AMD Nilay Vaish, Univ

Re: [gem5-dev] Review Request 2717: mem: Snoop into caches on uncacheable accesses

2015-04-01 Thread Andreas Hansson
. To reply, visit: http://reviews.gem5.org/r/2717/#review6005 --- On March 30, 2015, 9:17 a.m., Andreas Hansson wrote: --- This is an automatically generated e-mail. To reply, visit

Re: [gem5-dev] Review Request 2711: mem: Remove templates in cache model

2015-04-01 Thread Andreas Hansson
--- On March 30, 2015, 9:16 a.m., Andreas Hansson wrote: --- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2711

Re: [gem5-dev] Review Request 2715: mem: Pass shared downstream through caches

2015-03-31 Thread Andreas Hansson
. To reply, visit: http://reviews.gem5.org/r/2715/#review5993 --- On March 30, 2015, 9:17 a.m., Andreas Hansson wrote: --- This is an automatically generated e-mail. To reply, visit: http

Re: [gem5-dev] Review Request 2716: arch, cpu: Do not forward snoops to table walker

2015-03-31 Thread Andreas Hansson
. Diffs (updated) - configs/common/Caches.py 8a7285d6197e configs/common/O3_ARM_v7a.py 8a7285d6197e src/arch/arm/stage2_mmu.hh 8a7285d6197e src/arch/x86/pagetable_walker.hh 8a7285d6197e Diff: http://reviews.gem5.org/r/2716/diff/ Testing --- Thanks, Andreas Hansson

Re: [gem5-dev] Review Request 2720: mem, cpu: Add a separate flag for strictly ordered memory

2015-03-31 Thread Andreas Hansson
in the end. - Andreas --- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2720/#review5994 --- On March 30, 2015, 9:17 a.m., Andreas Hansson wrote

Re: [gem5-dev] Review Request 2716: arch, cpu: Do not forward snoops to table walker

2015-03-31 Thread Andreas Hansson
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2716/#review5989 --- On March 30, 2015, 9:17 a.m., Andreas Hansson wrote

Re: [gem5-dev] Review Request 2715: mem: Pass shared downstream through caches

2015-03-31 Thread Andreas Hansson
there is a risk that a downstream cache considers the line exclusive when it really isn't. Diffs (updated) - src/mem/cache/cache_impl.hh 8a7285d6197e Diff: http://reviews.gem5.org/r/2715/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev

Re: [gem5-dev] Review Request 2720: mem, cpu: Add a separate flag for strictly ordered memory

2015-03-31 Thread Andreas Hansson
On March 31, 2015, 5:41 a.m., Steve Reinhardt wrote: How about adding something like static const FlagsType ORDERED_UNCACHABLE = UNCACHEABLE | STRICT_ORDER; then using that in place of all the Request::UNCACHEABLE | Request::STRICT_ORDER expressions? Andreas Hansson wrote

[gem5-dev] Review Request 2712: mem: Tidy up BaseCache parameters

2015-03-30 Thread Andreas Hansson
--- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2713: mem: Add missing stats update for uncacheable MSHRs

2015-03-30 Thread Andreas Hansson
/cache/cache_impl.hh 8a7285d6197e Diff: http://reviews.gem5.org/r/2713/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2719: mem, alpha: Move Alpha-specific request flags

2015-03-30 Thread Andreas Hansson
/pal.isa 8a7285d6197e src/arch/alpha/tlb.cc 8a7285d6197e src/arch/alpha/types.hh 8a7285d6197e src/mem/request.hh 8a7285d6197e Diff: http://reviews.gem5.org/r/2719/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5

[gem5-dev] Review Request 2720: mem, cpu: Add a separate flag for strictly ordered memory

2015-03-30 Thread Andreas Hansson
: http://reviews.gem5.org/r/2720/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2721: arm: Relax ordering for some uncacheable accesses

2015-03-30 Thread Andreas Hansson
ordered memory. Diffs - src/arch/arm/tlb.cc 8a7285d6197e Diff: http://reviews.gem5.org/r/2721/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2716: arch, cpu: Do not forward snoops to table walker

2015-03-30 Thread Andreas Hansson
: http://reviews.gem5.org/r/2716/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2714: mem: Add forward snoop check for HardPFReqs

2015-03-30 Thread Andreas Hansson
/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2718: arm: Remove unnecessary boot uncachability

2015-03-30 Thread Andreas Hansson
/ArmSystem.py 8a7285d6197e Diff: http://reviews.gem5.org/r/2718/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2717: mem: Snoop into caches on uncacheable accesses

2015-03-30 Thread Andreas Hansson
8a7285d6197e src/mem/coherent_xbar.cc 8a7285d6197e src/mem/snoop_filter.cc 8a7285d6197e src/cpu/o3/cpu.cc 8a7285d6197e src/dev/dma_device.cc 8a7285d6197e src/mem/cache/cache_impl.hh 8a7285d6197e Diff: http://reviews.gem5.org/r/2717/diff/ Testing --- Thanks, Andreas Hansson

[gem5-dev] Review Request 2711: mem: Remove templates in cache model

2015-03-30 Thread Andreas Hansson
8a7285d6197e src/mem/cache/tags/random_repl.cc 8a7285d6197e src/mem/cache/tags/random_repl.hh 8a7285d6197e Diff: http://reviews.gem5.org/r/2711/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org

Re: [gem5-dev] Review Request 2707: cpu: fix system total instructions counting

2015-03-29 Thread Andreas Hansson
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2707/#review5983 --- Ship it! Ship It! - Andreas Hansson On March 25, 2015, 3:50 p.m

Re: [gem5-dev] Review Request 2645: syscall_emul: implement clock_gettime system call

2015-03-29 Thread Andreas Hansson
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2645/#review5984 --- Ship it! Ship It! - Andreas Hansson On Feb. 6, 2015, 10:07 p.m

[gem5-dev] changeset in gem5: mem: Align all MSHR entries to block boundaries

2015-03-27 Thread Andreas Hansson
changeset b32578b2af99 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=b32578b2af99 description: mem: Align all MSHR entries to block boundaries This patch aligns all MSHR queue entries to block boundaries to simplify checks for matches. Previously

[gem5-dev] changeset in gem5: mem: Modernise MSHR iterators to C++11

2015-03-27 Thread Andreas Hansson
changeset b2071d0eb5f1 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=b2071d0eb5f1 description: mem: Modernise MSHR iterators to C++11 This patch updates the iterators in the MSHR and MSHR queues to use C++11 range-based for loops. It also does a bit

[gem5-dev] changeset in gem5: mem: Ignore uncacheable MSHRs when finding ma...

2015-03-27 Thread Andreas Hansson
changeset 9a34e28cd2c2 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=9a34e28cd2c2 description: mem: Ignore uncacheable MSHRs when finding matches This patch changes how we search for matching MSHRs, ignoring any MSHR that is allocated for an

[gem5-dev] changeset in gem5: mem: Remove redundant allocateUncachedReadBuf...

2015-03-27 Thread Andreas Hansson
changeset 993c2baa485a in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=993c2baa485a description: mem: Remove redundant allocateUncachedReadBuffer in cache This patch removes the no-longer-needed allocateUncachedReadBuffer. Besides the checks it is

[gem5-dev] changeset in gem5: arm, configs: Do not forward snoops from I cache

2015-03-27 Thread Andreas Hansson
changeset 8a7285d6197e in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=8a7285d6197e description: arm, configs: Do not forward snoops from I cache This fix simply tells the I cache to not forward snoops to the fetch unit (since there is really no

[gem5-dev] changeset in gem5: mem: Cleanup flow for uncacheable accesses

2015-03-27 Thread Andreas Hansson
changeset 9e521c0c3877 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=9e521c0c3877 description: mem: Cleanup flow for uncacheable accesses This patch simplifies the code dealing with uncacheable timing accesses, aiming to align it with the existing

[gem5-dev] changeset in gem5: mem: Allocate cache writebacks before new MSHRs

2015-03-27 Thread Andreas Hansson
changeset c48310de1a51 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=c48310de1a51 description: mem: Allocate cache writebacks before new MSHRs This patch changes the order of writeback allocation such that any writebacks resulting from a tag lookup

[gem5-dev] Review Request 2708: arm, dev: Add a NAND flash timing model

2015-03-27 Thread Andreas Hansson
/2708/diff/ Testing --- Heavily used in our ISPASS'15 paper Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2709: arm, dev: Add a UFS device

2015-03-27 Thread Andreas Hansson
src/dev/arm/UFSHostDevice.py PRE-CREATION Diff: http://reviews.gem5.org/r/2709/diff/ Testing --- Heavily used in our ISPASS'15 paper Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

Re: [gem5-dev] Review Request 2710: Correct the endianess detection

2015-03-27 Thread Andreas Hansson
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2710/#review5975 --- Thanks! Again, the summary should start with sim : - Andreas Hansson

Re: [gem5-dev] Review Request 2705: Extend access width for IDE control registers

2015-03-27 Thread Andreas Hansson
) The summary should start with dev : (see http://gem5.org/Commit_Access for details) 2) Are any regressions affected? (or is this not impacting linux) - Andreas Hansson On March 25, 2015, 11:10 a.m., Ruslan Bukin wrote

[gem5-dev] changeset in gem5: cpu: Fix InstPBTrace inheritance

2015-03-26 Thread Andreas Hansson
changeset c7e392e343eb in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=c7e392e343eb description: cpu: Fix InstPBTrace inheritance This patch fixes an issue that prevented gem5 to be built with C++ config and without Python. diffstat:

[gem5-dev] Review Request 2704: cpu: Remove the InOrderCPU from the tree

2015-03-24 Thread Andreas Hansson
8f5993cfa916 Diff: http://reviews.gem5.org/r/2704/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

Re: [gem5-dev] Review Request 2698: mem: Cleanup flow for uncacheable accesses

2015-03-24 Thread Andreas Hansson
8f5993cfa916 Diff: http://reviews.gem5.org/r/2698/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

Re: [gem5-dev] Review Request 2703: mem: Allocate cache writebacks before new MSHRs

2015-03-24 Thread Andreas Hansson
8f5993cfa916 src/mem/cache/cache_impl.hh 8f5993cfa916 Diff: http://reviews.gem5.org/r/2703/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Cache fixes good to go

2015-03-24 Thread Andreas Hansson
Hi all, I’d like to get the following fixes to the cache model pushed before the end of the week. The changes are not particularly complicated (rather subtle and elegant if you ask me), and the impact on the regressions is restricted to a handful tests with some minor changes.

[gem5-dev] changeset in gem5: tests: Final reclassification of quick regres...

2015-03-23 Thread Andreas Hansson
changeset 02621b4f013b in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=02621b4f013b description: tests: Final reclassification of quick regressions A few regressions were still considered long, but finished well within the 180 seconds. They are only a

[gem5-dev] changeset in gem5: mem: Tidy up Request

2015-03-23 Thread Andreas Hansson
changeset dcd7cf19f7c5 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=dcd7cf19f7c5 description: mem: Tidy up Request This patch does a bit of house keeping, fixing up typos, removing dead code etc. diffstat: src/mem/request.hh | 32

Re: [gem5-dev] Review Request 2691: mem: implement x86 locked accesses in timing-mode classic cache

2015-03-23 Thread Andreas Hansson
is present in the cache. Would it make sense to flush/downgrade somehow and then treat this like a miss? - Andreas Hansson On March 14, 2015, 5:19 p.m., Steve Reinhardt wrote: --- This is an automatically generated e-mail. To reply, visit

Re: [gem5-dev] Review Request 2703: mem: Allocate cache writebacks before new MSHRs

2015-03-23 Thread Andreas Hansson
8a4040874157 src/mem/cache/cache_impl.hh 8a4040874157 Diff: http://reviews.gem5.org/r/2703/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

Re: [gem5-dev] Review Request 2703: mem: Allocate cache writebacks before new MSHRs

2015-03-23 Thread Andreas Hansson
we don't have to bother with the assert at the bottom? Andreas Hansson wrote: Could do if you think it makes things prettier. I think I'd rather create a new variable with a different name if you think it is needed. Steve Reinhardt wrote: I don't understand your response

Re: [gem5-dev] Review Request 2703: mem: Allocate cache writebacks before new MSHRs

2015-03-23 Thread Andreas Hansson
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2703/#review5963 --- On March 22, 2015, 7:35 a.m., Andreas Hansson wrote

Re: [gem5-dev] Review Request 2703: mem: Allocate cache writebacks before new MSHRs

2015-03-23 Thread Andreas Hansson
we don't have to bother with the assert at the bottom? Andreas Hansson wrote: Could do if you think it makes things prettier. I think I'd rather create a new variable with a different name if you think it is needed. Steve Reinhardt wrote: I don't understand your response

Re: [gem5-dev] Review Request 2703: mem: Allocate cache writebacks before new MSHRs

2015-03-23 Thread Andreas Hansson
, they are still needed. - Andreas --- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2703/#review5963 --- On March 22, 2015, 7:35 a.m., Andreas Hansson

Re: [gem5-dev] Review Request 2703: mem: Allocate cache writebacks before new MSHRs

2015-03-23 Thread Andreas Hansson
we don't have to bother with the assert at the bottom? Andreas Hansson wrote: Could do if you think it makes things prettier. I think I'd rather create a new variable with a different name if you think it is needed. Steve Reinhardt wrote: I don't understand your response

[gem5-dev] Review Request 2703: mem: Allocate cache writebacks before new MSHRs

2015-03-22 Thread Andreas Hansson
Diff: http://reviews.gem5.org/r/2703/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

Re: [gem5-dev] Review Request 2702: ruby: allow restoring from checkpoint when using DRAMCtrl

2015-03-22 Thread Andreas Hansson
On March 21, 2015, 1:32 p.m., Andreas Hansson wrote: I like the idea of getting it sorted (hopefully we can retire the RubyMemoryControl). I am not sure about the solution though. Surely all the stats for the DRAMCtrl object(s) would be all confused if time advances, but no accesses

Re: [gem5-dev] Review Request 2702: ruby: allow restoring from checkpoint when using DRAMCtrl

2015-03-21 Thread Andreas Hansson
the RubyMemoryControl). I am not sure about the solution though. Surely all the stats for the DRAMCtrl object(s) would be all confused if time advances, but no accesses are counted? Do we not also need to reset all stats once the warmup is sorted? - Andreas Hansson On March 20, 2015, 7:48 p.m., Lena

Re: [gem5-dev] changeset in gem5: tests: Recategorise regressions based on run ...

2015-03-19 Thread Andreas Hansson
Indeed. Next comes the rename of quick to short, and split into short, medium and long. Short = 180 s Medium = 1800 s Long = everything else Andreas On 19/03/2015 08:16, Gabe Black gabebl...@google.com wrote: Awesome :-) On Mar 19, 2015 1:10 AM, Andreas Hansson andreas.hans...@arm.com wrote

[gem5-dev] changeset in gem5: tests: Recategorise regressions based on run ...

2015-03-19 Thread Andreas Hansson
changeset 62b24818c8c6 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=62b24818c8c6 description: tests: Recategorise regressions based on run time This patch takes a first stab at recategorising the regression tests based on actual run times. The

[gem5-dev] changeset in gem5: config: Add soak test for memtest.py

2015-03-19 Thread Andreas Hansson
19 04:06:17 2015 -0400 +++ b/configs/example/memtest.pyThu Mar 19 04:06:18 2015 -0400 @@ -40,11 +40,20 @@ # Andreas Hansson import optparse +import random import sys import m5 from m5.objects import * +# This example script stress tests the memory system by creating false

[gem5-dev] changeset in gem5: tests: Bump timeout to 5 hours

2015-03-19 Thread Andreas Hansson
changeset cb77dfd5db54 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=cb77dfd5db54 description: tests: Bump timeout to 5 hours Align with observed run-times just above 4 hours for some hosts. diffstat: tests/SConscript | 6 +++--- 1 files changed, 3

[gem5-dev] changeset in gem5: config: Fix DRAM rank option in sweep script

2015-03-19 Thread Andreas Hansson
changeset 062c820aef24 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=062c820aef24 description: config: Fix DRAM rank option in sweep script Align with changes in the common bits. diffstat: configs/dram/sweep.py | 9 +++-- 1 files changed, 3

[gem5-dev] changeset in gem5: mem: Use emplace front/back for deferred packets

2015-03-19 Thread Andreas Hansson
changeset 791e4619919d in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=791e4619919d description: mem: Use emplace front/back for deferred packets Embrace C++11 for the deferred packets as we actually store the objects in the data structure, and not

Re: [gem5-dev] Review Request 2699: dev: (un)serialize fix for the RTC and RTC Timer Interrupt events

2015-03-18 Thread Andreas Hansson
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2699/#review5945 --- Ship it! Ship It! - Andreas Hansson On March 18, 2015, 12:45 p.m

Re: [gem5-dev] Review Request 2687: dev: (un)serialize fix for the RTC and RTC Timer Interrupt events

2015-03-18 Thread Andreas Hansson
it using postreview? - Andreas Hansson On March 11, 2015, 4:13 p.m., Nikos Nikoleris wrote: --- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2687

[gem5-dev] Review Request 2700: dev: Add support for i2c devices

2015-03-18 Thread Andreas Hansson
/i2cdev.hh PRE-CREATION src/dev/SConscript 655ff3f6352d src/dev/i2cbus.hh PRE-CREATION src/dev/i2cbus.cc PRE-CREATION Diff: http://reviews.gem5.org/r/2700/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5

Re: [gem5-dev] Review Request 2671: config: Specify OS type and release on command line

2015-03-18 Thread Andreas Hansson
., Andreas Hansson wrote: --- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2671/ --- (Updated March 17, 2015, 8:30 a.m.) Review

Re: [gem5-dev] [gem5-users] ARM : Hang while booting arm_detailed quadcore CPU

2015-03-18 Thread Andreas Hansson
Hi Lokesh, I suspect the kernel you are using is not compatible with the disk image in question. We should have instructions for how to run KitKat online shortly, along with pointers to a recent kernel (with DVFS support etc). Andreas From: Lokesh Jindal

[gem5-dev] Patches ready to go

2015-03-17 Thread Andreas Hansson
Hi all, I intend to push the following patches at the end of the week. If you have comments or need more time please let me know. # KitKat enablement http://reviews.gem5.org/r/2671/ # ARM GIC updates http://reviews.gem5.org/r/2681/ http://reviews.gem5.org/r/2682/ # Improved testing

Re: [gem5-dev] Review Request 2671: config: Specify OS type and release on command line

2015-03-17 Thread Andreas Hansson
/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2698: mem: Cleanup flow for uncacheable accesses

2015-03-17 Thread Andreas Hansson
, and then proceeds to ignore any existing MSHR for the block in question. This unifies the flow for cacheable and uncacheable accesses. Diffs - src/mem/cache/cache_impl.hh 655ff3f6352d Diff: http://reviews.gem5.org/r/2698/diff/ Testing --- Thanks, Andreas Hansson

Re: [gem5-dev] Review Request 2690: mem: rename Locked/LOCKED to LockedRMW/LOCKED_RMW

2015-03-17 Thread Andreas Hansson
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2690/#review5942 --- Ship it! Ship It! - Andreas Hansson On March 14, 2015, 5:17 p.m

[gem5-dev] Review Request 2696: mem: Support any number of master-IDs in stride prefetcher

2015-03-17 Thread Andreas Hansson
/prefetch/stride.cc 655ff3f6352d Diff: http://reviews.gem5.org/r/2696/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2695: mem: Remove redundant allocateUncachedReadBuffer in cache

2015-03-17 Thread Andreas Hansson
655ff3f6352d src/mem/cache/cache_impl.hh 655ff3f6352d Diff: http://reviews.gem5.org/r/2695/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2693: mem: Align all MSHR entries to block boundaries

2015-03-17 Thread Andreas Hansson
/mshr_queue.cc 655ff3f6352d Diff: http://reviews.gem5.org/r/2693/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2697: mem: Ignore uncacheable MSHRs when finding matches

2015-03-17 Thread Andreas Hansson
Diff: http://reviews.gem5.org/r/2697/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Review Request 2692: mem: Rename PREFETCH_SNOOP_SQUASH flag to BLOCK_CACHED

2015-03-17 Thread Andreas Hansson
in the writeback MSHRs of upper level caches continues to be covered by the MEM_INHIBIT flag. Diffs - src/mem/cache/cache_impl.hh 655ff3f6352d src/mem/packet.hh 655ff3f6352d Diff: http://reviews.gem5.org/r/2692/diff/ Testing --- Thanks, Andreas Hansson

[gem5-dev] Review Request 2694: mem: Modernise MSHR iterators to C++11

2015-03-17 Thread Andreas Hansson
/mshr.cc 655ff3f6352d src/mem/cache/mshr_queue.cc 655ff3f6352d Diff: http://reviews.gem5.org/r/2694/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org/mailman/listinfo/gem5-dev

Re: [gem5-dev] Review Request 2691: mem: implement x86 locked accesses in timing-mode classic cache

2015-03-17 Thread Andreas Hansson
the changed order of the write buffer allocation and dealing with the writebacks is important? - Andreas Hansson On March 14, 2015, 5:19 p.m., Steve Reinhardt wrote: --- This is an automatically generated e-mail. To reply, visit

Re: [gem5-dev] Review Request 2689: config: expand '~' and '~user' in paths

2015-03-17 Thread Andreas Hansson
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2689/#review5941 --- Ship it! Ship It! - Andreas Hansson On March 14, 2015, 5:16 p.m

Re: [gem5-dev] Review Request 2688: misc: quote args in echoed command line

2015-03-17 Thread Andreas Hansson
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2688/#review5940 --- Ship it! Ship It! - Andreas Hansson On March 14, 2015, 5:16 p.m

Re: [gem5-dev] Big-little

2015-03-17 Thread Andreas Hansson
Hi Renju, We use quite a variety of big.LITTLE system configurations, and all the building blocks are already part of gem5. All you need is a custom python script to configure the system, and a suitable kernel and DTB file. See e.g. http://www.gem5.org/Running_gem5#Experimenting_with_DVFS for an

Re: [gem5-dev] Cron m5test@zizzer2 /z/m5/regression/do-regression --scratch all

2015-03-09 Thread Andreas Hansson
Hi Steve, Thanks for chasing this down. I guess zizzer is not too modern (and perhaps running with a large number of threads?), as the 4 hour limit was picked to give us a ~40% headroom based on what I see on my old-ish workstation. Ironically the regression in question is only the third slowest

[gem5-dev] Review Request 2685: tests: Recategorise regressions based on run time

2015-03-06 Thread Andreas Hansson via gem5-dev
PRE-CREATION Diff: http://reviews.gem5.org/r/2685/diff/ Testing --- Note that these are all hg mv operations, and no changes are actually made to any files. Thanks, Andreas Hansson ___ gem5-dev mailing list gem5-dev@gem5.org http://m5sim.org

[gem5-dev] Review Request 2684: test, arm: Add scripts to test checkpoints

2015-03-06 Thread Andreas Hansson via gem5-dev
-checkpoint/stats.txt PRE-CREATION tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-checkpoint/system.terminal 8a20e2a1562d Diff: http://reviews.gem5.org/r/2684/diff/ Testing --- Thanks, Andreas Hansson ___ gem5-dev mailing

Re: [gem5-dev] Review Request 2680: cpu: o3: record cpi stacks

2015-03-04 Thread Andreas Hansson via gem5-dev
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2680/#review5937 --- Should this perhaps be a probe rather? - Andreas Hansson On March 4

Re: [gem5-dev] Configuration GUI for gem5

2015-03-03 Thread Andreas Hansson via gem5-dev
Hi Marcus, I’d also say this would be a great topic for the User Workshop at ISCA: http://www.gem5.org/User_workshop_2015 Andreas On 03/03/2015 15:14, Ali Saidi via gem5-dev gem5-dev@gem5.org wrote: Hi Marcus, Option 1 is probably the most preferred route as the code is much more likely to

Re: [gem5-dev] Review Request 2619: cpu: Add L-TAGE branch predictor

2015-03-03 Thread Andreas Hansson via gem5-dev
. Thanks for getting this in shape. - Andreas Hansson On March 3, 2015, 2:53 a.m., Dibakar Gope wrote: --- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2619

Re: [gem5-dev] Review Request 2636: mem: fix prefetcher bug regarding write buffer hits

2015-03-02 Thread Andreas Hansson via gem5-dev
was that the response from the cache with the write-buffer copy was causing an assertion, since the receiving cache wasn't expecting a response because it had squashed the prefetch. Andreas Hansson wrote: Here is the fix: http://reviews.gem5.org/r/2654/ The fix has been pushed. I believe this patch can

[gem5-dev] changeset in gem5: mem: Tidy up the cache debug messages

2015-03-02 Thread Andreas Hansson via gem5-dev
changeset 9ba5e70964a4 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=9ba5e70964a4 description: mem: Tidy up the cache debug messages Avoid redundant inclusion of the name in the DPRINTF string. diffstat: src/mem/cache/base.cc | 10 +-

[gem5-dev] changeset in gem5: mem: Unify all cache DPRINTF address formatting

2015-03-02 Thread Andreas Hansson via gem5-dev
changeset d1387fcd94b8 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=d1387fcd94b8 description: mem: Unify all cache DPRINTF address formatting This patch changes all the DPRINTF messages in the cache to use '%#llx' every time a packet address is

[gem5-dev] changeset in gem5: stats: Update stats to reflect cache and inte...

2015-03-02 Thread Andreas Hansson via gem5-dev
changeset 8a20e2a1562d in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=8a20e2a1562d description: stats: Update stats to reflect cache and interconnect changes This is a bulk update of stats to match the changes to cache timing, interconnect timing,

[gem5-dev] changeset in gem5: arm: Share a port for the two table walker ob...

2015-03-02 Thread Andreas Hansson via gem5-dev
changeset 4f8c1bd6fdb8 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=4f8c1bd6fdb8 description: arm: Share a port for the two table walker objects This patch changes how the MMU and table walkers are created such that a single port is used to connect

[gem5-dev] changeset in gem5: mem: Move crossbar default latencies to subcl...

2015-03-02 Thread Andreas Hansson via gem5-dev
changeset 67b3e74de9ae in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=67b3e74de9ae description: mem: Move crossbar default latencies to subclasses This patch introduces a few subclasses to the CoherentXBar and NoncoherentXBar to distinguish the

[gem5-dev] changeset in gem5: mem: Fix cache MSHR conflict determination

2015-03-02 Thread Andreas Hansson via gem5-dev
changeset 1072b1381560 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=1072b1381560 description: mem: Fix cache MSHR conflict determination This patch fixes a rather subtle issue in the sending of MSHR requests in the cache, where the logic previously

[gem5-dev] changeset in gem5: tests: Run regression timeout as foreground

2015-03-02 Thread Andreas Hansson via gem5-dev
changeset 9b71309d29f9 in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=9b71309d29f9 description: tests: Run regression timeout as foreground Allow the user to send signals such as Ctrl C to the gem5 runs. Note that this assumes coreutils = 8.13, which

[gem5-dev] changeset in gem5: mem: Add byte mask to Packet::checkFunctional

2015-03-02 Thread Andreas Hansson via gem5-dev
changeset b1d90d88420e in /z/repo/gem5 details: http://repo.gem5.org/gem5?cmd=changeset;node=b1d90d88420e description: mem: Add byte mask to Packet::checkFunctional This patch changes the valid-bytes start/end to a proper byte mask. With the changes in timing introduced in

Re: [gem5-dev] Review Request 2655: config: Fix for 'android' lookup in disk name

2015-03-02 Thread Andreas Hansson via gem5-dev
On Feb. 19, 2015, 10:50 p.m., Andreas Hansson wrote: Ship It! Could someone be kind enough to push this? - Andreas --- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2655/#review5898

Re: [gem5-dev] Review Request 2636: mem: fix prefetcher bug regarding write buffer hits

2015-03-02 Thread Andreas Hansson via gem5-dev
Great. Let us know if there are still any remaining issues. We’ve got some additional cache fixes that should be on RB before the end of the week. Andreas From: Steve Reinhardt ste...@gmail.commailto:ste...@gmail.com Date: Monday, 2 March 2015 16:47 To: Andreas Hansson andreas.hans

Re: [gem5-dev] Review Request 2673: cpu: o3: remove unused function annotateMemoryUnits()

2015-03-01 Thread Andreas Hansson via gem5-dev
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2673/#review5918 --- Ship it! Ship It! - Andreas Hansson On Feb. 28, 2015, 10:34 p.m

Re: [gem5-dev] Review Request 2674: cpu: o3: remove member variable squashCounter

2015-03-01 Thread Andreas Hansson via gem5-dev
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2674/#review5919 --- Ship it! Ship It! - Andreas Hansson On Feb. 28, 2015, 10:37 p.m

Re: [gem5-dev] Review Request 2675: cpu: o3: combine if with same condition

2015-03-01 Thread Andreas Hansson via gem5-dev
--- This is an automatically generated e-mail. To reply, visit: http://reviews.gem5.org/r/2675/#review5920 --- Ship it! Ship It! - Andreas Hansson On Feb. 28, 2015, 10:39 p.m

<    5   6   7   8   9   10   11   12   13   14   >