Re: [gem5-users] Cache Management

2018-08-05 Thread Abhishek Singh
the miss (packet 1 gets answered > from L2 or even further away). > > Best regards, > > Timon > > On Thu, Aug 2, 2018 at 2:30 AM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> My question is simple if there are two packets wants to access L1 cache &

[gem5-users] Cache Management

2018-08-01 Thread Abhishek Singh
My question is simple if there are two packets wants to access L1 cache in a system of 2 level cache and cpu is o3. Will packet 2 has to wait for packet 1 to get its response(packet 1) from L2 in case of L1 cache miss and L2 cache hit? What happen if its L2 miss?

Re: [gem5-users] Cache Management

2018-08-06 Thread Abhishek Singh
> eviction from L1. Only later, when the block gets evicted from L2, then the > content is written in main-memory. > > > > Best regards > > > > On Sun, Aug 5, 2018 at 3:59 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > > Hi Timon, > &g

Re: [gem5-users] Cache Management

2018-08-06 Thread Abhishek Singh
gt; were all levels are updated at write time. So, for your example: if both L1 > and L2 are write-back caches, then the block in L2 gets updated after > eviction from L1. Only later, when the block gets evicted from L2, then the > content is written in main-memory. > > > > Be

Re: [gem5-users] Checkpoint Creation in SE mode

2018-08-22 Thread Abhishek Singh
You can also use simpoints else just fast forward the start up instruction and run for some instruction using -I On Wed, Aug 22, 2018 at 8:54 AM Sakshi Tiwari wrote: > Can someone please reply to the query above? It will be really helpful. > > Regards, > Sakshi > > > On Fri, Aug 10, 2018 at

[gem5-users] Generate binary for new added instruction

2018-09-10 Thread Abhishek Singh
Hello Everyone, I have added new instruction in x86 isa of gem5. I wanted to test it using (modified) binary. As new instruction are not identified by general gcc/g++ complier on host x86 machine. I am unable to get binary. I am using SE mode of gem5. Is there a way to run new instruction using

[gem5-users] REX prefix implementation in x86

2018-11-01 Thread Abhishek Singh
Hello Everyone, I wanted to introduce a new implementation for Mov Instruction using R11 register, my new opcodes are placed in two_byte.isa and I have duplicated 'mov' functionality present in files move.py and ldstop.isa. My question is: I understand how to decode opcode for example if the new

Re: [gem5-users] REX prefix implementation in x86

2018-11-02 Thread Abhishek Singh
ting your operand size to always be a byte, but that > might be what you want. > > Gabe > > On Thu, Nov 1, 2018 at 9:13 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> There was typo in my last line >> It is >> I do *NOT* have to wor

Re: [gem5-users] REX prefix implementation in x86

2018-11-01 Thread Abhishek Singh
should get its arguments in the same way. For > reference, E means that operand may be a register or a memory location > based on the ModRM byte, and G means the "reg" field of modRM. The small v > means to use the effective operand size. > > Gabe > > On Thu,

Re: [gem5-users] REX prefix implementation in x86

2018-11-01 Thread Abhishek Singh
There was typo in my last line It is I do *NOT* have to worry for "41" in "41 0f 6c 03" (41 is used for Extension of r/m field, base field, or opcode reg field(reference: http://ref.x86asm.net/coder64.html)) On Thu, Nov 1, 2018 at 9:37 PM Abhishek Singh < abhishek.singh

[gem5-users] Fwd: Adding new flags at decoder

2018-11-16 Thread Abhishek Singh
Hello everyone and Gabe, Do you have any information on this question? -- Forwarded message - From: Abhishek Singh Date: Wed, Nov 14, 2018 at 8:07 PM Subject: Adding new flags at decoder To: gem5 users mailing list Hello Everyone, I have added new instructions in SE x86 ISA

[gem5-users] Adding new flags at decoder

2018-11-14 Thread Abhishek Singh
Hello Everyone, I have added new instructions in SE x86 ISA, this instructions are same as MOV instructions in x86 and use the similar mnemonic defined in /arch/x86/isa/microops/ldstop.isa i.e. Ld and St For example: defineMicroLoadOp('*Ldnew*', 'Data = merge(Data, Mem, dataSize);', 'Data = Mem

[gem5-users] Persistent Benchmark Fails on gem5-SE

2018-12-26 Thread Abhishek Singh
Hello Everyone, I am trying to run "Mnemosyne" benchmark on gem5 in SE mode, Mnemosyne can be found from "https://github.com/snalli/mnemosyne-gcc; site. I am able to run the benchmark on host machine but when I try to run on gem5 in SE mode, simulation exits with the following error: warn:

[gem5-users] Set number of ports to dcache and l2 cache in gem5

2018-12-11 Thread Abhishek Singh
Hello Everyone, I want to simulate multi-port cache configuration for O3CPU, for example, Private L1: Split I/D, 64KB, 4-way, 64B blocks, *3ports,* 1ns, 32MSHRs, LRU Private L2: 256kB, 8-way, *2 ports,* 3ns, 32MSHRs, LRU How should I set highlighted ports in gem5 i.e. *3 ports* for L1 and *2

Re: [gem5-users] Set number of ports to dcache and l2 cache in gem5

2018-12-13 Thread Abhishek Singh
son wrote it once and then everyone else copied > it. I doubt that there was significant care given to the cache bandwidth > model in all but a few papers (if that). > > Hope this helps, > Jason > > On Tue, Dec 11, 2018 at 10:51 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wr

[gem5-users] Running Mnemosyne Benchmark on gem5

2018-11-27 Thread Abhishek Singh
Hello Everyone, I am trying to run Mnemosyne benchmark ( https://github.com/snalli/mnemosyne-gcc) on SE mode of gem5(latest Master Commit as of today), I am running into this runtime error as follows: """ warn: ignoring syscall set_robust_list(...) warn: ignoring syscall rt_sigaction(...)

[gem5-users] Running pthread Application Binary on gem5

2018-09-17 Thread Abhishek Singh
Hello Users, I am trying to run BWA(https://github.com/lh3/bwa) its an application to align DNA sequence, one can think of as string matching type of program. The command line I use is: */home/abs218/baseline/gem5/build/X86/gem5.opt /home/abs218/baseline/gem5/configs/example/se.py -c

[gem5-users] Bypassing Dcache on MSHR 1

2019-03-29 Thread Abhishek Singh
Hello Everyone, I want to bypass Dcache i.e., do not allocate anything in Dcache, in order to do that, I use tempBlock in *handleFill* function in src/mem/cache/base.cc. *Before: * blk = allocate ? allocateBlock(pkt, writebacks) : nullptr; *After:* if(name() == "system.cpu.dcache”)

Re: [gem5-users] Creating Blocking caches (i.e., 1 target per MSHR)

2019-03-25 Thread Abhishek Singh
locked:**:no_targets* when compared to 1 target per mshr. This is because we never blocked the requests coming to Dcache when we had only one target per MSHR. On Mon, Mar 25, 2019 at 5:43 PM Abhishek Singh < abhishek.singh199...@gmail.com> wrote: > Hello Nikos and Everyone, > > In

Re: [gem5-users] Creating Blocking caches (i.e., 1 target per MSHR)

2019-03-25 Thread Abhishek Singh
> > I'm not sure why you don't see any stalls due to no_targets. You might > want to check how we measure the relevant stats and also make sure that > the simulation you run will trigger this. > > Nikos > > > On 25/03/2019 20:19, Abhishek Singh wrote: > > I want to have

[gem5-users] FunctionalAccess and Use of tempBlock

2019-03-27 Thread Abhishek Singh
I would like to clarify a few things: I want to bypass Dcache i.e., do not allocate anything in Dcache, in order to do that, I use tempBlock in *handleFill* function in src/mem/cache/base.cc. Is this correct, and will not cause any problem? *Before: * blk = allocate ?

[gem5-users] Creating Blocking caches (i.e., 1 target per MSHR)

2019-03-25 Thread Abhishek Singh
Hello Everyone, I am trying to simulate D-cache with one target per mshr, I tried changing the parameter "tgts_per_mshr" defined in "configs/common/Caches.py" to 1, it does not work. This is because when we allocate target for existing MSHR, we always check the "tgts_per_mshr" parameter after

Re: [gem5-users] Creating Blocking caches (i.e., 1 target per MSHR)

2019-03-25 Thread Abhishek Singh
you will need to > limit both the number of MSHRs and tgts_per_mshr to 1. > > I hope this helps. > > Nikos > > On 25/03/2019 19:03, Abhishek Singh wrote: > > Hello Everyone, > > > > I am trying to simulate D-cache with one target per mshr, I tried > >

[gem5-users] gem5 X86 Full System fails with DerivO3CPU

2019-03-06 Thread Abhishek Singh
Hello Everyone, I am trying to run the gem5 full system with X86 ISA and DerivO3CPU I have built image and kernel following Jason's Website (ref: http://www.lowepower.com/jason/setting-up-gem5-full-system.html) My image is* Ubuntu 16.04.5 LTS* My kernel is *Linux-4.8.13* Gem5 version: Latest as

Re: [gem5-users] Debug for c++

2019-03-06 Thread Abhishek Singh
Hello Yunxia, If you want to run pthread application in SE mode, you need to use m5 threads library (ref:https://github.com/gem5/m5threads) or shift to FS mode of gem5. Best regards, Abhishek On Wed, Mar 6, 2019 at 2:30 PM Yunxia Zhu wrote: > Hello everyone, > > My OS is Linux Mint 18

Re: [gem5-users] Assertion failure when using DerivO3CPU with full system config

2019-03-05 Thread Abhishek Singh
Hello Sethu, Did you try baseline i.e, without making any changes to cache and can you the also mention the command line statement? Best regards, Abhishek On Tue, Mar 5, 2019 at 1:20 PM Sethu Jose wrote: > Hi, > > I'm using the full system config explained in this page: >

Re: [gem5-users] Assertion failure when using DerivO3CPU with full system config

2019-03-05 Thread Abhishek Singh
it to use > DerivO3CPU. Following are my modification: > > self.cpu = DerivO3CPU() > self.mem_mode = 'timing' > > Thanks in advance for any suggestions. > > Regards, > Sethu > > On Tue, Mar 5, 2019 at 1:55 PM Abhishek Singh < > abhishek.singh199...@gmail.com>

Re: [gem5-users] Assertion failure when using DerivO3CPU with full system config

2019-03-05 Thread Abhishek Singh
firmation. Could you suggest any earlier version > where this should work without error? > > Regards, > Sethu > > On Tue, 5 Mar 2019, 9:09 PM Abhishek Singh wrote: > >> You are correct Sethu, latest gem5 O3CPU does give an error. Is there >> anyone in a community who

Re: [gem5-users] Assertion failure when using DerivO3CPU with full system config

2019-03-05 Thread Abhishek Singh
t; > I tried the parsec images. I get the same error when I'm using out of > order CPU. > > Regards, > Sethu > > On Tue, Mar 5, 2019 at 3:16 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Can you try this command line: >> >> ./build

Re: [gem5-users] Assert error while running FS

2019-03-16 Thread Abhishek Singh
Hello, Can you post the command line? On Sat, Mar 16, 2019 at 7:37 AM Ashok Sathyan wrote: > Dear All, > > I downloaded a fresh copy of gem5 > (9e22a2ab603d743b187108986cfbeba07c0c0b8d) and it giving a assert error > while trying to run it in FS. I haven't done any modifications to the code. >

Re: [gem5-users] Assert error while running FS

2019-03-16 Thread Abhishek Singh
Hello Ashok, Just change the CPU type to Timing or Atomic. Gem5 FS x86 mode gives the assertion error when used with O3CPU directly. The way you can use O3CPU, is to Fast Forward boot process or use checkpointing technique i.e., create checkpoints with Atomic CPU and then restore with

Re: [gem5-users] Assert error while running FS

2019-03-16 Thread Abhishek Singh
h DerivO3CPU. > > On Sat, Mar 16, 2019 at 6:50 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hello Ashok, >> >> Just change the CPU type to Timing or Atomic. Gem5 FS x86 mode gives the >> assertion error when used with O3CPU directly. &g

Re: [gem5-users] Does SE account for system call cycles and instructions?

2019-03-07 Thread Abhishek Singh
CPU's implementation of that semantic. > > Jason > > On Thu, Mar 7, 2019 at 9:27 AM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hello Everyone, >> >> I have some questions regarding already defined system call in gem5 SE >> x86. >>

Re: [gem5-users] Commonitor

2019-03-08 Thread Abhishek Singh
Hi, A smart way to know how many instruction to Fastforward is to use dump stats just after boot up for the first run and then see instruction count. Second way is to use checkpointing technique for the boot-up and then restore from that and then run your application. For monitoring accesses I

[gem5-users] Bypass Dcache gives tcmalloc error

2019-04-08 Thread Abhishek Singh
Hello Everyone, I am trying to bypass Dcache, the way I do it is in src/mem/cache/base.cc file in allocateBlock function, I return "nullptr" for Dcache. It works correctly for small size applications but gives this error *"**src/tcmalloc.cc:283] Attempt to free invalid pointer 0x563d2c5"

Re: [gem5-users] (no subject)

2019-05-12 Thread Abhishek Singh
you feel a problem in this logic. > For multicore simulation, what should be the difference? > > Many thanks for your response, > Best regards, > Avais > > On Sat, May 11, 2019 at 8:15 AM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> What you d

Re: [gem5-users] Update an architectural register on eviction from dCache

2019-05-17 Thread Abhishek Singh
ve caches or a particular number > or topology of caches, so you'll likely need to set up some custom plumbing > so the register code and the cache code can find each other in your setup. > > Gabe > > On Thu, May 16, 2019, 10:47 AM Abhishek Singh < > abhishek.singh199...@gmail

Re: [gem5-users] (no subject)

2019-05-10 Thread Abhishek Singh
What you do, is create flags in src/mem/packet.hh for various cache levels. Whenever you hit in L2, you can set the L2flag in response pkt. And if it is misses in L2, set main memory flag in response pkt, as you are sure you will get data from main memory. Here we are assuming it’s a single core

Re: [gem5-users] Bypassing blocks to LLC

2019-05-10 Thread Abhishek Singh
Hi Richard, What you can do is, wherever your desired block reaches at L3 level in the form of a packet that is in “recvTimingReq” function, instead of writing in L3. Create a write-back queue entry at L3 for that pkt and then it should automatically go to Main memory. On Thu, May 2, 2019 at

Re: [gem5-users] (no subject)

2019-05-10 Thread Abhishek Singh
ards, > Avais > > > On Wed, May 8, 2019 at 5:21 AM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hi Muhammad, >> >> >> If you want on L2 hit, the block is invalidated from L2 cache and filled >> in Dcache and the rest behavior sam

Re: [gem5-users] Update an architectural register on eviction from dCache

2019-05-16 Thread Abhishek Singh
Has anyone used Model Specific register in caches? That is, to set single bit value in any of the MSR from caches? Best regards, Abhishek On Wed, May 15, 2019 at 2:54 PM Abhishek Singh < abhishek.singh199...@gmail.com> wrote: > Hello Everyone and Gabe, > > I am having diffic

[gem5-users] Update an architectural register on eviction from dCache

2019-05-15 Thread Abhishek Singh
Hello Everyone and Gabe, I am having difficulty in finding a way to implment a new register in X86 ISA which is set one when an eviction occurs in dCache. Does anyone know which files, I should look into or any suggestions on how to achieve this implementation? Best regards, Abhishek

Re: [gem5-users] (no subject)

2019-05-12 Thread Abhishek Singh
Hello Nazish, If you are using classic memory, edit src/mem/cache/base.cc file’s recvTimingReq function. You can access the old data through blk->data and the new data by pkt->getdata(this you need to search in src/mem/packet.hh, I may have made mistake in writing correct name of the function).

Re: [gem5-users] (no subject)

2019-05-07 Thread Abhishek Singh
Hi Muhammad, If you want on L2 hit, the block is invalidated from L2 cache and filled in Dcache and the rest behavior same as you explained in the diagram, you can use gem5's "most_excl" option in "gem5/src/mem/cache/Cache.py" file. You may need to take care of "clean victim" from dcache which

Re: [gem5-users] Error during gem5 full system simulation with DerivO3CPU

2019-04-20 Thread Abhishek Singh
Use an older commit It solves the problem On Sat, Apr 20, 2019 at 1:48 PM ABHISHEK BHATTACHARYYA < abhattach...@wisc.edu> wrote: > Hi > > I am trying to run a full system simulation with DerivO3CPU and a mesh > interconnect model from garnet2.0. However, the simulation fails giving the >

Re: [gem5-users] Error during gem5 full system simulation with DerivO3CPU

2019-04-22 Thread Abhishek Singh
work fine? > -- > *From:* gem5-users on behalf of Abhishek > Singh > *Sent:* Saturday, April 20, 2019 12:54 PM > *To:* gem5 users mailing list > *Subject:* Re: [gem5-users] Error during gem5 full system simulation with > DerivO3CPU > > Use an older commit > It solves th

Re: [gem5-users] gem5 X86 Full System fails with DerivO3CPU

2019-07-01 Thread Abhishek Singh
t; > On Wed, Mar 6, 2019 at 2:20 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hello Everyone, >> >> I am trying to run the gem5 full system with X86 ISA and DerivO3CPU >> >> I have built image and kernel following Jason's Website (re

[gem5-users] Full System X86 restoring from checkpoint questions

2019-08-30 Thread Abhishek Singh
Hello Everyone, I have created the checkpoint for booting up Linux image. My command line is """ build/X86/gem5.opt --outdir=/home/abs218/gem5_dir_local/gem5_bl_fs/common_checkpoint_chkpt --stats-file=common_checkpoint_chkpt.simout --dump-config=common_checkpoint_chkpt.ini --redirect-stderr

Re: [gem5-users] Full System X86 restoring from checkpoint questions

2019-08-30 Thread Abhishek Singh
inition, outside of the rcS script). > > Jason > > On Fri, Aug 30, 2019 at 9:39 AM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hi Pouya and Jason, >> Yes the binary is present. >> My question is it necessary to run the binary after the checkp

Re: [gem5-users] Full System X86 restoring from checkpoint questions

2019-08-30 Thread Abhishek Singh
) on your disk image? To be more > precise, was the binary on the disk image when you took the checkpoint? > > Best, > > On Fri, Aug 30, 2019 at 8:26 AM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hello Everyone, >> >> I have created th

Re: [gem5-users] How to run SE on multicores to speedup simulation ?

2019-08-31 Thread Abhishek Singh
Hi yuan, Gem5 is a single thread application. So there’s no way to speed up gem5 with increasing number of core. On Sat, Aug 31, 2019 at 12:30 PM Yuan Kevin wrote: > Dear gem5 community members, > > I am playing the DRAM simulation with configs/dram/sweep.py on my 12 core > CPU workstation. >

[gem5-users] How to run gdb or debug segmentation fault in Full System O3CPU in x86 isa

2019-09-01 Thread Abhishek Singh
Hello Everyone, I am running the persistent benchmarks (tatp, vacation), which is a single thread benchmark. I can correctly run the benchmark on AtomicSimpleCPU and generate checkpoint. When I am trying to restore it with O3CPU, I can see "Segmentation Fault (core dumped)" in

Re: [gem5-users] How to run gdb or debug segmentation fault in Full System O3CPU in x86 isa

2019-09-04 Thread Abhishek Singh
se gdb's remote debugger interface to debug simulated code in > gem5. See details here: http://www.gem5.org/Debugging_Simulated_Code. > > Regards, > -Ayaz > > On Sun, Sep 1, 2019 at 5:56 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hello Everyone

Re: [gem5-users] MemTraceProbe cannot be imported successfully

2019-07-25 Thread Abhishek Singh
Can you try older version of libptotoc like libprotoc 2.6.1? On Thu, Jul 25, 2019 at 11:18 AM Rosen Lu wrote: > Hi, > > I set the environment as follow: > > export PATH=$PATH:/usr/local/protobuf/bin > export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:/usr/local/protobuf/lib > export

Re: [gem5-users] derivo3cpu issue

2019-11-22 Thread Abhishek Singh
y.out > --benchmark_stderr=/home/abdkhail/aa/gem5/o1/povray.err > --cpu-type=DerivO3CPU --caches > -- > *From:* gem5-users on behalf of Abhishek > Singh > *Sent:* Friday, November 22, 2019 11:11:38 PM > *To:* gem5 users mailing list > *Cc:* gem5-us

Re: [gem5-users] derivo3cpu issue

2019-11-22 Thread Abhishek Singh
Hey, What is the error and what is the command line? Can you run gdb and paste the back trace? Did you made any changes to baseline gem5? On Fri, Nov 22, 2019 at 5:54 PM ABD ALRHMAN ABO ALKHEEL < abdkeel...@hotmail.com> wrote: > Hello everyone, I am running the benchmark on gem5 and I got error

Re: [gem5-users] Gem5 Cache

2019-12-10 Thread Abhishek Singh
-- > *From: *"Abhishek Singh" > *To: *"gem5-users" > *Sent: *Wednesday, 11 December, 2019 10:03:25 > *Subject: *Re: [gem5-users] Gem5 Cache > > Check config/common/Caches.py > > On Tue, Dec 10, 2019 at 11:32 PM DURAIRAJ J <2016506...@annauniv.edu.in>

Re: [gem5-users] What is the default coherence mechanism in gem5 for multicore systems?

2019-12-11 Thread Abhishek Singh
Hi, The default is MI protocol in classic cache model, there are various protocols which you can find in build_opts directory. Also, you can find the build info by using —build-info flag. For example ./build/X86/gem5.opt —build-info On Wed, Dec 11, 2019 at 10:11 AM Francisco Carlos wrote: > Hi

Re: [gem5-users] What is the default coherence mechanism in gem5 for multicore systems?

2019-12-11 Thread Abhishek Singh
- > *From:* gem5-users on behalf of Abhishek > Singh > *Sent:* 11 December 2019 16:32 > *To:* gem5 users mailing list > *Subject:* Re: [gem5-users] What is the default coherence mechanism in > gem5 for multicore systems? > > Hi, > The default is MI pr

Re: [gem5-users] Disable pre-fetching in l2 cache

2019-12-03 Thread Abhishek Singh
Hi, Prefetching will impact the replacement in a way it can bring non demand dead blocks which will not be referenced in the application and force evict a demand block. You can disable prefetchers by editing src/configs/common/Caches.py and setting the parameter “prefetcher” to NULL just for L2

Re: [gem5-users] [gem5-dev] gem5 stable release proposal [PLEASE VOTE!]

2019-12-16 Thread Abhishek Singh
Hello Jason, Thanks for such a nice and detailed explanation. My votes are as follows: *I think master should be development* *I think gem5 should be released once per year* Best regards, Abhishek On Mon, Dec 16, 2019 at 2:50 PM Jason Lowe-Power wrote: > Hi all, > > As many of you have

Re: [gem5-users] Assertion during full system emulation with checkpoint restore and standar switch option

2019-10-18 Thread Abhishek Singh
Try January 2018 commit On Fri, Oct 18, 2019 at 2:10 PM Michail Mavropoulos < mavropo...@ceid.upatras.gr> wrote: > Hello all, > > I am using gem5 revision commit > 4c38c7c02aca9922d7f30f2f399bbe94c034eb59. > > I try to run a full system emulation, after restoring from a checkpoint > and using

[gem5-users] Correction: TLB timing model in x86 SE O3CPU

2019-10-17 Thread Abhishek Singh
Hello Everyone and Gabe, Does x86 gem5 SE mode using O3CPU considers timing delays of TLB Hit and TLB miss? If yes, which file has it? I could not find any timing parameter in src/arch/tlb.cc in translate timing function Is that timing delay overlapped with the cache access timing delay? Also,

[gem5-users] TLB timing model in x86 SE Mode

2019-10-17 Thread Abhishek Singh
Hello Everyone, Does x86 gem5 SE mode considers timing delays of TLB Hit and TLB miss? If yes, which file has it? I could not find any timing parameter in src/arch/tlb.cc in translate timing function Is that timing delay overlapped with the cache access timing delay? Also, how is the tlb

Re: [gem5-users] Adding latencies in cache accesses

2019-10-17 Thread Abhishek Singh
Hi Vector, You need to search for fill latency in src/mem/cache/base.cc and response latency in src/mem/cache/cache.cc in serviceMSHR function call. On Wed, Oct 16, 2019 at 5:38 PM Victor Kariofillis wrote: > Hi Daniel, > > First of all thanks for answering. I have some more questions. In my

Re: [gem5-users] Memory Command

2019-10-17 Thread Abhishek Singh
Hi yuan, There is no document detailing those commands except few comments in packet.hh file. Whatever commands you are confused about please reply to this email thread, so that everyone whoever has any knowledge will start sharing and then we can combine it and put it as a document. On Fri, Oct

Re: [gem5-users] Fw: [gem5]: SHA2 and SHA3 on gem5

2019-10-17 Thread Abhishek Singh
Hi, Can you explain in detail what is SHA2 and SHA3, any links? On Thu, Oct 17, 2019 at 3:09 PM ABD ALRHMAN ABO ALKHEEL < abdkeel...@hotmail.com> wrote: > Hello everyone, > > I wanna run the sha3 and sha2 on gem5. I need your help. > > Best Regards > -- > *From:* ABD

Re: [gem5-users] Difference cpu clock and sys clock

2019-11-26 Thread Abhishek Singh
I always set it same System clock is for peripheral Most times we focus more on cpu clock On Mon, Nov 25, 2019 at 3:12 PM Nikos Giakoumoglou wrote: > What is the difference in cpu and sys clock? > Can’t figure it out from any file > > NG > ___ >

Re: [gem5-users] X86 CLFLUSH Flush Cache Line Instruction

2019-11-27 Thread Abhishek Singh
ason Lowe-Power had mentioned that > the same (secure bit) is used only for ARM ISA in GEM5 and not for X86 > ISA.. Am I missing something here? > > Bala > > On Mon, Nov 25, 2019 at 10:12 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hey, &g

Re: [gem5-users] Difference cpu clock and sys clock

2019-11-27 Thread Abhishek Singh
s? > > > > I could not find the mechanism to set the [cpu, system] clocks separately. > > > > Regards > > > > JO > > > > > > *From:* gem5-users [mailto:gem5-users-boun...@gem5.org] *On Behalf Of > *Abhishek > Singh > *Sent:* 27 November 2019 00

Re: [gem5-users] Stat of type Formula getting value from function

2019-11-29 Thread Abhishek Singh
Hi Varun, Will this stat will be calculated on every tick? On Fri, Nov 29, 2019 at 7:01 PM Victor Kariofillis wrote: > Hi, > > I have created a Stat of type Formula. Since the formula is complicated, > instead of having it in the regStats file, I want to call another function > that returns

Re: [gem5-users] Running spec2000 benchmarks on se.py

2019-11-27 Thread Abhishek Singh
There’s is no standard script provided by gem5 But u can search online or make your own On Wed, Nov 27, 2019 at 1:48 PM Charitha Saumya wrote: > Hi, > > How can I run the SPEC 2000 benchmarks with latest gem5? I can not find > any config script to do this. > I have SPEC 2000 binaries in alpha

Re: [gem5-users] How to suspend a packet in cache

2019-12-02 Thread Abhishek Singh
Hi Majid, One way is the schedule the response of packet 1 to a later tick x( current tick + 1), when the tick x is the system time, you have some sort of a flag to check whether the packet 2 was serviced by L2 cache or not. If no packet 2 had arrived in that time frame, you again delay the

Re: [gem5-users] X86 CLFLUSH Flush Cache Line Instruction

2019-11-25 Thread Abhishek Singh
Hey, You can do that, just go to the decoder code and make the secure flag high The code should be located at src/arch/x86/isa/ On Mon, Nov 25, 2019 at 11:20 AM Muralidharan K wrote: > Hello, > > I am aware that the above instruction has been implemented in the latest > build of gem5, but

Re: [gem5-users] Warm up instructions in gem5

2019-11-25 Thread Abhishek Singh
If -s flag means fast forward then it’s correct. Check the correct flags using ./build/X86/gem5.opt config/example/se.py -h On Mon, Nov 25, 2019 at 9:46 PM Charitha Saumya wrote: > Hi, > > I want to run my x86 binary for 300M instructions from which 100M will be > for warming up the caches. I

Re: [gem5-users] Warm up instructions in gem5

2019-11-25 Thread Abhishek Singh
of > But I am still not convinces all these flags are functional. Can someone > confirm gem5 supports this flag? and what CPU models are used before and > after switch? > > Thanks > > > > On Mon, Nov 25, 2019 at 9:50 PM Abhishek Singh < > abhishek.singh199...@gmai

Re: [gem5-users] Warm up instructions in gem5

2019-11-25 Thread Abhishek Singh
switching > > I am not sure fast forward can help me here. > > > > On Mon, Nov 25, 2019 at 9:55 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> >> Is there any flag called “fast forward”? >> >> On Mon, Nov 25, 2019 at

Re: [gem5-users] [gem5-dev] Announcing the beta of gem5's new website

2019-12-18 Thread Abhishek Singh
Hi Jason, Thanks for sharing the website. And a lot of thanks to all those who made this possible. I had one question: Is your tutorial updated to new function call names?for example to implement new cache replacement policy, your tutorial still use old format of defining function calls and its

Re: [gem5-users] ask for help about simulate with noncherentcahe

2019-12-18 Thread Abhishek Singh
Hi yuan, In order to that, you need to call non-coherent cache instead of cache in config/common/Caches.py file. >From my experience I am able to use LLC as non coherent cache. Please note this is how I used in classic cache model. On Wed, Dec 18, 2019 at 11:54 AM 616653241 <616653...@qq.com>

Re: [gem5-users] Multiprogrammed simulation in x86 SE in O3CPU is broken

2020-02-13 Thread Abhishek Singh
/master/TESTING.md> > With this method, you can only run a single suite at a time. If you want > to run more than one uid, you must call ./main.py multiple times.. > Currently, you must specify --skip-build if you want to run a single suite > or run in batch mode. Otherwise, you will

Re: [gem5-users] MultiProgrammed Workload X86 O3CPU error using SMT

2020-02-10 Thread Abhishek Singh
With that said For x86 O3CPU, the multi program workload simulation is also broken. So basically one can not multi core simulation using x86 O3CPU with and without SMT. Also, to all developers who push commit to gem5, please do thorough testing as both of this support was working in older

Re: [gem5-users] Develop branch on github

2020-02-28 Thread Abhishek Singh
ll, UC Davis > Davis, > CA, 95616 > > web: https://www.bobbybruce.net > > > On Fri, Feb 28, 2020 at 11:58 AM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hello Everyone, >> >> Is there a way I can access develop branch

Re: [gem5-users] Develop branch on github

2020-02-28 Thread Abhishek Singh
Bobby R. Bruce > Room 2235, > Kemper Hall, UC Davis > Davis, > CA, 95616 > > web: https://www.bobbybruce.net > > > On Fri, Feb 28, 2020 at 12:15 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hello Bobby, >> >> Thank you for

[gem5-users] Commit numbers/tags of previous stable version missing

2020-03-01 Thread Abhishek Singh
Hello everyone, Can anyone provide me with the commit number of the previous stable version of gem5? This stable-tags has been removed recently like 3 days before. *Bobby and Jason*: Is there any reason for removing it? I am asking this because there are other simulators (mostly from Prof. Onur

Re: [gem5-users] Commit numbers/tags of previous stable version missing

2020-03-01 Thread Abhishek Singh
t; If anyone else has any more information about this then it’d be much > appreciated. > > Kind regards > Bobby > > -- > Dr. Bobby R. Bruce > Room 2235, > Kemper Hall, UC Davis > Davis, > CA, 95616 > > On Mar 1, 2020, at 02:50, Abhishek Singh > wrote: &g

Re: [gem5-users] Changing the "inParallelMode"

2020-02-29 Thread Abhishek Singh
Hi, This work was started in past You can the progress information and steps required in this link http://www.m5sim.org/Parallel_M5 For now seeing the mailing I do not see anyone continuing this work, but there were people who had started working on this On Sun, Mar 1, 2020 at 2:25 AM YUCHEN

Re: [gem5-users] Question about CacheLoad/StorePorts

2020-03-01 Thread Abhishek Singh
Hi, The configuration in source code are not decided depending on any specific architecture. One needs to change it depending on what architecture they are targeting. If you read papers who have used gem5, they always target a particular architecture (skylake, coffee lake, or any and or arm, etc)

Re: [gem5-users] 回复: Installing Shared Libraries to Image in Full Simulation

2020-03-03 Thread Abhishek Singh
017-experiments$ git --version *git version 2.17.1* *How can I solve this error? * *Also, is it necessary to use docker and Celery and why? * Best regards, Abhishek On Wed, Mar 4, 2020 at 12:38 AM Abhishek Singh < abhishek.singh199...@gmail.com> wrote: > Hi Hao, > > I was able

Re: [gem5-users] 回复: Installing Shared Libraries to Image in Full Simulation

2020-03-04 Thread Abhishek Singh
ain-doc/faq.html > > Even though using docker is also not required, it is highly recommended > since it is an easy way to deploy mongodb server. > > Regards, > Hoa Nguyen > > On Tue, Mar 3, 2020 at 10:02 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: >

[gem5-users] Tutorial on Porting Spec 2017 benchmarks to SE x86 cpu

2020-03-03 Thread Abhishek Singh
Hello Everyone, Does anyone has ported spec 2017 benchmarks to SE gem5? If yes, can you share some steps? Currently, I am trying FS from gem5art but having some error with the scripts and I am trying to solve but FS mode according to gem5art, can be used only with 1 CPU in o3 CPU mode with the

Re: [gem5-users] gem5 X86 full system simulation with a recent version of ubuntu(18.04)

2020-03-03 Thread Abhishek Singh
Hello Ayaz, Can this image accept the rcS script provided using the Command line? For example in this way: ./build/X86/gem5.opt --outdir=/home/abs218/whisper_gem5_setup/gem5_latest/scalibility_chkpt/ --stats-file=scalibility_chkpt.simout --dump-config=scalibility_chkpt.ini --redirect-stderr

Re: [gem5-users] 回复: Installing Shared Libraries to Image in Full Simulation

2020-03-03 Thread Abhishek Singh
have been updated. > > Sorry about the confusion! > > Regards, > Hoa Nguyen > > > On Mon, Mar 2, 2020, 7:55 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hi, >> >> This is the i got in past when I was trying to run SPEC in SE mod

Re: [gem5-users] how to Run spec cpu 2006 on gem5-Fs

2020-03-02 Thread Abhishek Singh
Hi, Follow this https://gem5art.readthedocs.io/en/latest/ On Mon, Mar 2, 2020 at 12:50 PM FARIDEH ZIAEE wrote: > Hi, > I need to run spec cpu 2006 on gem5-Fs mode but I don't know how to run it. > also ,according to site"" > https://yulistic.gitlab.io/2016/05/gem5-make-a-new-boot-image/"; I

Re: [gem5-users] Question about CacheLoad/StorePorts

2020-03-03 Thread Abhishek Singh
Hi, You are right about values of ports not being sensible. Set the values depending on the architecture (coffee lake, skylake, etc) Correct values of hardware components can be found in their manual On Tue, Mar 3, 2020 at 5:32 PM Chang Hyun Park wrote: > Thank you, Abhishek for your reply. >

Re: [gem5-users] gem5 X86 full system simulation with a recent version of ubuntu(18.04)

2020-03-03 Thread Abhishek Singh
/npb/runscript.sh > > -Ayaz > > > On Tue, Mar 3, 2020 at 7:32 AM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hello Ayaz, >> >> Can this image accept the rcS script provided using the Command line? >> >> For example in this way:

Re: [gem5-users] 回复: Installing Shared Libraries to Image in Full Simulation

2020-03-03 Thread Abhishek Singh
loads on O3CPU, and they ran successfully. > > We used gem5 19. > > Regards, > Hoa Nguyen > > On 3/3/20, Abhishek Singh wrote: > > Hi Hoa, > > Are you able to run on o3 cpu. > > Also, is it same gem5 commit as mentioned on the website? > > > &

Re: [gem5-users] 回复: Installing Shared Libraries to Image in Full Simulation

2020-03-03 Thread Abhishek Singh
at 7:53 PM Hoa Nguyen wrote: > Hi Abhishek, > > Yes, but the gem5 config only has one-core O3CPU. It could be tweaked to > multiple cores. > > Regards, > Hoa Nguyen > > On Tue, Mar 3, 2020, 3:48 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: >

Re: [gem5-users] 回复: Installing Shared Libraries to Image in Full Simulation

2020-03-03 Thread Abhishek Singh
uot; https://gem5art.readthedocs.io/en/latest/tutorials/spec2017-tutorial.html;? Best regards, Abhishek On Tue, Mar 3, 2020 at 8:52 PM Hoa Nguyen wrote: > Did you modify any file downloaded from gem5art repo? > > Regards, > Hoa Nguyen > > On Tue, Mar 3, 2020, 5:44 PM Abh

Re: [gem5-users] 回复: Installing Shared Libraries to Image in Full Simulation

2020-03-03 Thread Abhishek Singh
sr/. > > So, in install-spec2017.sh, we use a sed command to replace that default > path to /usr. > > In your case, the default path might be different, so you would need to > change the sed command in install-spec2017.sh to change the path to /usr. > > Regards, >

Re: [gem5-users] 回复: Installing Shared Libraries to Image in Full Simulation

2020-03-03 Thread Abhishek Singh
n > > On Tue, Mar 3, 2020, 5:28 PM Abhishek Singh < > abhishek.singh199...@gmail.com> wrote: > >> Hi Hoa, >> >> For now, I will try to get a single-core. >> >> In Building the Disk Image Step, I get an error in compiling spec >> benchmarks in t

  1   2   >