Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-29 Thread cern via Machinekit
Hi, Feb 26, 2020, 16:02 by blazin...@gmail.com: > Yes the mesa firmware has been around for quite some time but only in this > project has it been this configurable.  > > Putting an fpga on a pcb isn't super easy for novices, while Mesa is > fantastic you are buying a board with the fpga's i/o

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-26 Thread Bas de Bruijn
> On 26 Feb 2020, at 16:03, justin White wrote: > > My biggest issue is actually MK itself, it's too far behind linuxcnc in key > areas like joints+axis and since you mentioned mesa, well that's rather far > behind too. I wouldn't have a problem deploying mksocfpga if I didn't have a >

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-26 Thread justin White
Yes the mesa firmware has been around for quite some time but only in this project has it been this configurable. Putting an fpga on a pcb isn't super easy for novices, while Mesa is fantastic you are buying a board with the fpga's i/o hardware is set in stone. You can reconfigure stepgens for

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-26 Thread Bas de Bruijn
> On 26 Feb 2020, at 01:34, justin White wrote: > > Its amazing that mksocfpga doesn't get more interest/support, I dont think > people realize how powerful the idea is. Do you mean the reconfigurability? If not, the Mesa FPGA is around already for a long time, and it is great that the

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-25 Thread justin White
I'd certainly help if I could but I'm not the guy for that. Its amazing that mksocfpga doesn't get more interest/support, I dont think people realize how powerful the idea is. On Tue, Feb 25, 2020, 3:21 AM Michael Brown wrote: > > > > I can only put up this proposal: > Generally speaking I

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-25 Thread Michael Brown
I can only put up this proposal: Generally speaking I would be nice to be able to change screen resolutions at runtime on the de10_nano board, instead of having to generate a custom quartus hdmi bitfile and dtb for each resolution(screen) needed. I once found a different de10 nano project on

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-22 Thread justin White
Any plans to add this to the build script? Playing with Quartus isn't something I generally love to do. On Tuesday, February 18, 2020 at 5:44:32 AM UTC-5, Michael Brown wrote: > > > > On Tue, 18 Feb 2020, 11.39 Michael Brown > wrote: > > BTW the newest de10 SD images are around here: >

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-18 Thread Michael Brown
On Tue, 18 Feb 2020, 11.39 Michael Brown wrote: > BTW the newest de10 SD images are around here: > https://github.com/the-snowwhite/soc-image-buildscripts > >> >> Look for binary releases Sorry for the messy mails but I'm currently in hospital attempting to get thru via my phone... > >> On

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-18 Thread Michael Brown
BTW the newest de10 SD images are around here: https://github.com/the-snowwhite/soc-image-buildscripts On Tue, 18 Feb 2020, 11.26 Michael Brown wrote: > It's unfortunately not as simple as the frame buffer is hardwired to the > display parameters in quartus. Resolution change requires changing

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-18 Thread Michael Brown
It's unfortunately not as simple as the frame buffer is hardwired to the display parameters in quartus. Resolution change requires changing the FB parameters in quartus... Generating a new bit file and then generating an altered device tree with the new display parameters. Michael B. On Tue, 18

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-17 Thread justin White
I know I've seen some resolution numbers in the quartus files so I assumed this was using part of the FPGA to do a framebuffer or something and it was actually part of the Quartus project. I thought the BBB actually had a GPU unlike the nano. I'll definitely try it when I get a chance, the

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-17 Thread Charles Steinkuehler
I don't currently have a DE10-Nano running, but I reviewed the code and it looks like you should be able to set the resolution the same as you would for any other embedded display (by passing some kernel parameters). Refer to: * The modedb documentation:

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2020-02-16 Thread justin White
Been away from this project for a short bit but I had a pretty good use come up for it recently. Main thing I'm having a problem with is the FB resolution that was configured, 1024x768 is a dead resolution. Only old monitors natively support it and nothing with HDMI does. Larger HDMI monitors

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-09-06 Thread Michael Brown
@Justin Ups I have been far away in Xilinx ultra96 land, and butchered the sys partition of my kde neon install in the process (installing debian Buster to what I thought was a spare/empty ssd ..) Luckly the full Home partition was/is safe and I've just installed kdeneon again on a brand new

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-09-04 Thread justin White
I had to make some changes to the pin routing of my board for the encoders. I assume the quartus config changes haven't been applied to the docker environment yet? @ Michael, if not can you build firmware with this .vhd file? On Friday, August 30, 2019 at 12:12:02 AM UTC-4, justin White

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-29 Thread justin White
I haven't gotten to an Open source derivative just yet. When I do it'll be in Kicad that's what I use. On Thursday, August 29, 2019 at 6:57:15 PM UTC-4, Michael Brown wrote: > Perhaps even kicad compatible... > > On Friday, 30 August 2019 00:56:03 UTC+2, Michael Brown wrote: > > A board

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-29 Thread Michael Brown
Perhaps even kicad compatible... On Friday, 30 August 2019 00:56:03 UTC+2, Michael Brown wrote: > > A board schematic (with pins connectors wires components etc...) ? > preferably open source... > > > On Thursday, 29 August 2019 23:42:04 UTC+2, justin White wrote: >> >> What are you looking for?

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-29 Thread Michael Brown
A board schematic (with pins connectors wires components etc...) ? preferably open source... On Thursday, 29 August 2019 23:42:04 UTC+2, justin White wrote: > > What are you looking for? > > > On Thursday, August 29, 2019 at 1:00:54 PM UTC-4, Michael Brown wrote: >> >> @Justin >> Do you have a

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-29 Thread justin White
What are you looking for? On Thursday, August 29, 2019 at 1:00:54 PM UTC-4, Michael Brown wrote: > > @Justin > Do you have a schematic available for your board ? > > On Wednesday, 28 August 2019 20:53:41 UTC+2, Michael Brown wrote: > > Yes > It would certainly help my debug efforts here to have

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-29 Thread Michael Brown
@Justin Do you have a schematic available for your board ? On Wednesday, 28 August 2019 20:53:41 UTC+2, Michael Brown wrote: > > Yes > It would certainly help my debug efforts here to have the physical > board(s) on hand. :-) > > I can PM you my street address when you have it build t and

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-28 Thread Michael Brown
Yes It would certainly help my debug efforts here to have the physical board(s) on hand. :-) I can PM you my street address when you have it build t and ready... On Wednesday, 28 August 2019 03:54:49 UTC+2, justin White wrote: > > After looking at it I wonder if it is not just the 8i20 portion

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-27 Thread justin White
After looking at it I wonder if it is not just the 8i20 portion of SSerial code has issues. I'm sort of at a loss as I'm not really sure what I'm seeing. Like I said, if you want I can whip you up a board and send it to you on the next run if you want to debug this further. I just don't want to

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-27 Thread justin White
It would certainly be nice to build firmware with docker with the Quartus fixes you've made to the DExx_cramps config, in case I come up with some other hardware. On Tuesday, August 27, 2019 at 5:41:28 AM UTC-4, Michael Brown wrote: > > That PR is waiting for the finalizing your pin file: > >

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-27 Thread Michael Brown
That PR is waiting for the finalizing your pin file: https://github.com/the-snowwhite/mksocfpga/blob/sserial-work/HW/hm2/config/DExx_Nano_xxx_Cramps/PIN_st_fpga_soc_dc1f_ss.vhd after the PR is then commited and approved: When the MKSocfpga changes are merged the changes will show up in the mk

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-26 Thread justin White
BTW, have the DExx-cramps changes been merged in a way that I can do a docker build on my end yet? On Monday, August 26, 2019 at 6:49:23 PM UTC-4, justin White wrote: > > There are comm errors thrown after discovery as mentioned on git, trying > to determine if its the hardware or MK's hm2

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-26 Thread justin White
There are comm errors thrown after discovery as mentioned on git, trying to determine if its the hardware or MK's hm2 driver ATM, Still a bit difficult to determine without a verifiable working instance of SS in current MK systems. -- website: http://www.machinekit.io blog:

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-25 Thread justin White
Holy machinekit@mksocfpga-nano-soc:~$ halrun msgd:0 running rtapi:0 running halrun: Realtime already running. Use 'halrun -U' to stop existing realtime session. machinekit@mksocfpga-nano-soc:~$ halrun -U machinekit@mksocfpga-nano-soc:~$ halrun msgd:0 stopped rtapi:0 stopped rtapi_msgd

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-25 Thread Michael Brown
mk-hal deb for test with sserial parse only mod. Due to the number of changes I choose to creative a conservative change only modding the: hm2_sserial_parse_md() function, this is what does the intial probing and pin setting.. The file you should use I think is:

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-24 Thread Michael Brown
On Saturday, 24 August 2019 21:00:26 UTC+2, Michael Brown wrote: > > > > On Saturday, 24 August 2019 20:59:01 UTC+2, Michael Brown wrote: >> >> This is my second Picoscope and I'm very content both with the Linux >> software, eventhough it still (last time I looked) has beta status... and >>

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-24 Thread Michael Brown
On Saturday, 24 August 2019 20:59:01 UTC+2, Michael Brown wrote: > > This is my second Picoscope and I'm very content both with the Linux > software, eventhough it still (last time I looked) has beta status... and > the HW off course :-) > > On Saturday, 24 August 2019 20:44:38 UTC+2, justin

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-24 Thread justin White
How do you like that scope? I had my Owon 1022I running on my last Linux install with hacked up windows/Java software. Can't get it working on the new system and I'd rather have something that had native Linux software, or just a normal scope. On Sat, Aug 24, 2019 at 2:19 PM Michael Brown wrote:

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-24 Thread Michael Brown
Got some scope results: https://github.com/machinekit/mksocfpga/issues/107#issuecomment-524570613 On Saturday, 24 August 2019 19:31:04 UTC+2, justin White wrote: > > @Michael, if ya need any info from my LCNC machine let me know. It's not > MK but it does have a working SS setup that's easy to

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-24 Thread justin White
@Michael, if ya need any info from my LCNC machine let me know. It's not MK but it does have a working SS setup that's easy to check. Also, if it makes it any easier for you to test anything I'm about to order what's hopefully my final board rev. I can whip you one up and send it your way,

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-24 Thread justin White
I'm not sure if this helps you but SS pins always look like normal I/O if they don't detect a remote on the channel after coming up. Since I have it out I connected the 8i20 to my project machine's 7i96 that I don't typically use SS with. This is LinuxCNC 2.8pre1 No 8i20 connected, note IO

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-24 Thread Michael Brown
@Charles @Justin I suggest we take the SSerial debug issue here as this seems to apply Globally to all the Mksocfpga project's https://github.com/machinekit/mksocfpga/issues/107#issuecomment-524558425 What I have found is that SSerial pins are configured as ordinary I/O's so ..: I'm currently

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-24 Thread justin White
municate. I'll try the 2nd one tomorrow. > The problem at the moment is that I haven't seen my setup work with a > known good SS config and the DE10-Nano config hasn't worked with known good > hardware. I can't think of an easy way to test the hardware other than to > scope it whic

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-24 Thread Michael Brown
communicate. I'll try the 2nd one tomorrow. > The problem at the moment is that I haven't seen my setup work with a > known good SS config and the DE10-Nano config hasn't worked with known good > hardware. I can't think of an easy way to test the hardware other than to > scope it wh

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-23 Thread justin White
't get it to >> communicate. I'll try the 2nd one tomorrow. >> The problem at the moment is that I haven't seen my setup work with a >> known good SS config and the DE10-Nano config hasn't worked with known good >> hardware. I can't think of an easy way to test the hardware other

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-23 Thread Michael Brown
n't think of an easy way to test the hardware other than to > scope it which I'll do if you can't find the firmware issue easily. > > > I thought Charles Mentioned a working setup above so I looked thru the > thread: > (Thinking you could test with that DE10_..._DB25 config) >

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-23 Thread Michael Brown
t;> hardware. I can't think of an easy way to test the hardware other than to >>>>> scope it which I'll do if you can't find the firmware issue easily. >>>> >>>> >>>> I thought Charles Mentioned a working setup above so I looked thru the >&

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-23 Thread justin White
t;> scope it which I'll do if you can't find the firmware issue easily. >>> >>> >>> I thought Charles Mentioned a working setup above so I looked thru the >>> thread: >>> (Thinking you could test with that DE10_..._DB25 config) >>> REcap: &

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-23 Thread Michael Brown
ad: >> (Thinking you could test with that DE10_..._DB25 config) >> REcap: >> >> Charles >>> Re: [Machinekit] Re: DE10 Nano suggested development environment? >>> On 7/7/2019 4:29 AM, Michael Brown wrote: >>> > Looking at a 7i76e manual it's di

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-23 Thread justin White
looked thru the >> thread: >> (Thinking you could test with that DE10_..._DB25 config) >> REcap: >> >> Charles >>> Re: [Machinekit] Re: DE10 Nano suggested development environment? >>> On 7/7/2019 4:29 AM, Michael Brown wrote: >>> > Look

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-23 Thread Michael Brown
easy way to test the hardware other than to >> scope it which I'll do if you can't find the firmware issue easily. > > > I thought Charles Mentioned a working setup above so I looked thru the > thread: > (Thinking you could test with that DE10_..._DB25 config) > RE

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-23 Thread Michael Brown
..._DB25 config) REcap: Charles > Re: [Machinekit] Re: DE10 Nano suggested development environment? > On 7/7/2019 4:29 AM, Michael Brown wrote: > > Looking at a 7i76e manual it's differential RS422 while the > > example configs suggest at the FPGA level it's a 2 pin RX/TX

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-22 Thread Michael Brown
OK I noticed: uartx8:\makeUARTTXs:0:auartx 25.9 (25.9) 28.7 (28.7) 2.8 (2.8) 0.0 (0.0) 0.0 (0.0) 46 (46) 47 (47) 0 (0) 0 0 0 0 0 SRL16E:\fifosrl:0:asr16e Were Empty, so I'm currently compiling a correction to the uart tx wireing mishap. brb in 12 min or so... On Thursday, 22 August 2019

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-22 Thread justin White
I dropped my ADC component on git. This is still the version with the problematic filter, but I figure this would make it easier for my friend or anyone else with interest to fix that portion https://github.com/blazini36/ST_DC1-configs/tree/master/ADC_component On Thursday, August 22, 2019 at

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-22 Thread justin White
The pin comments in the .vhd were a little messed up due to the changes I was making on board revs, copy/paste only goes so far lol. The actual pin assignments and "function" comments are all correct with the possible exception of the SS pins, can't speak on that until I actually see it work.

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-22 Thread Michael Brown
OK now: MakeSSerials:\GenMakeSSerials:MakeSSerials 649.0 (6.2) 805.6 (6.2) 159.6 (0.0) 3.0 (0.0) 0.0 (0.0) 748 (12) 1129 (0) 0 (0) 40960 5 0 0 0 Entity instance magicially appears in Quartus after full compile. next I'll run the docker compilation and post the bitfiles here On Thursday, 22

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-22 Thread Michael Brown
Yeah comes to mind the DExx_Cramps projects run on a reduced configuration so only the needed cores are added/included (the one's in the current configs) so... SSerial core needs to be included. I'll do that asap. On Thursday, 22 August 2019 03:53:39 UTC+2, justin White wrote: > > I threw the

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-21 Thread justin White
I threw the new FW on the nano and the stepgens are all working now. Still no SS but I suppose that was to be expected. As PCW said, since the version prints as "0", the SS CPU must be broken. On Wednesday, August 21, 2019 at 3:25:53 PM UTC-4, justin White wrote: > > Well that makes sense, my

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-21 Thread justin White
Well that makes sense, my SS pins were on GPIO1_00 and GPIO1_01, Stepgens start on GPIO1_02 so it might explain quite a bit. I would have tested it out a bit earlier but I went full nerd yesterday and built a 3900x/5700xt system I've been working on getting running. I got quatus installed and

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-20 Thread Michael Brown
Note: It did really help to have your full quartus project online to play with, that was probaly what immediately triggered my internal analyzer/debugger :-) On Tuesday, 20 August 2019 19:54:02 UTC+2, Michael Brown wrote: > > Valid Files Here: > > On Tuesday, 20 August 2019 19:50:53 UTC+2,

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-20 Thread Michael Brown
Valid Files Here: On Tuesday, 20 August 2019 19:50:53 UTC+2, Michael Brown wrote: > > Ups Sorry sorry sorry ... my BAD (Suddently my biain kicked in :-) ) > I should have spotted it immediately. > Culprit is due to my single experimental (verilog) added capasitive > depth/touch sensor core.

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-20 Thread Michael Brown
Ups Sorry sorry sorry ... my BAD (Suddently my biain kicked in :-) ) I should have spotted it immediately. Culprit is due to my single experimental (verilog) added capasitive depth/touch sensor core. This is not a part of the "original mesa hm2 vhdl config system, and the pins are hardwired

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-20 Thread Charles Steinkuehler
Dig through the synthesis log (*.map.rpt) a bit and look for warnings that indicate undriven nets. Sadly, most tool chains consider undriven signals a warning vs. an error, so they'll happily optimize away huge chunks of your design. A typical warning would look something like: Warning (10541):

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-20 Thread justin White
Thanks for the advice Charles. Actually I use this project: https://github.com/machinekit/mksocfpga/tree/master/HW/QuartusProjects/DE10_Nano_FB_Cramps Michael explained it has more functionallity than the DB25 project, so I've never tried the DB25. Not really up on my git stuff, I forked master

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-20 Thread Charles Steinkuehler
It sounds like the synthesis tools are optimizing away the stepgen logic, almost certainly because of an issue with signal connectivity to the top level I/O pins. The actual step accumulator is still generated because it's value gets read back via the register interface, but the steptables are

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-19 Thread Michael Brown
Thanx for the clairifying Charles, I doublechecked tha line and it reads: (StepGenTag,x"02", ClockLowTag,x"06", StepGenRateAddr, StepGenNumRegs, x"00", StepGenMPBitMask), So that should be ok... On Monday, 19 August 2019 22:37:42 UTC+2, Charles Steinkuehler wrote: >

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-19 Thread Charles Steinkuehler
Actually, the NumInstances field of the ModuleRecord is defined as an 8 bit std_logic_vector: https://github.com/machinekit/mksocfpga/blob/master/HW/hm2/config/IDROMConst.vhd#L839 In VHDL, it should throw an error if you use 06 for this value (VHDL won't convert an integer value into a

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-19 Thread Michael Brown
I never got the hang of Quartus reporting. :) After a full compilation Drag the line between the project navigator .. hieracy window and the Compilation report (table of contents ), to the right to expand the project navigator to show/see the LMN's needed info ..etc like in my pic above

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-19 Thread Michael Brown
No changing numinst should work just fine On Monday, 19 August 2019 18:05:28 UTC+2, justin White wrote: > > One thing I noticed, I recall seeing this the first time I started messing > with the .vhd's. All of the pinfiles in the DExx_Nano_xxx_Cramps config use > this line for stepgens > >

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-19 Thread justin White
One thing I noticed, I recall seeing this the first time I started messing with the .vhd's. All of the pinfiles in the DExx_Nano_xxx_Cramps config use this line for stepgens (StepGenTag,x"02", ClockLowTag,x"0A", StepGenRateAddr , StepGenNumRegs, x"00",

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-18 Thread justin White
The hal component did work fine then my friend "cleaned it up" and it acts weird when you enable the filter. Could just remove the filter pin and post it but it is rather handy. I've been tracking down an issue with the stepgens and depending on what the issue is it may be part of the smart

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-17 Thread Michael Brown
OK back to being able to be online with my workstation: I have allways had a fight setting up proper display resolutions on the altera soc's however I can give you some key notes: In qsys there are 3 cores to consider: For display timing settings: alt_vip_vfr_hdmi (framereader) alt_vip_itc_0

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-08 Thread justin White
On Tuesday, August 6, 2019 at 1:07:38 PM UTC-4, Michael Brown wrote: > > Not very easy from my cell phone here however: > In qsys there are 2 instances where you set up the timings for the screen > monitor. There should be some saved setups HD etc. Lastly you need to place > the correct screen

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-08-06 Thread Michael Brown
Not very easy from my cell phone here however: In qsys there are 2 instances where you set up the timings for the screen monitor. There should be some saved setups HD etc. Lastly you need to place the correct screen settings in the device tree there is a HD example there too. 1920 x1080. Sorry for

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-29 Thread justin White
> > Yup still on the edge of understanding. dk beyond reason. I'm still on 1/2 > gear . > That's OK, at the moment I'm just trying to make sure the hardware works OK for smart serial and maybe get you a little insight of the issue when you get around to looking at it. From what I

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-28 Thread Michael Brown
Yup still on the edge of understanding. dk beyond reason. I'm still on 1/2 gear and you do know that mksocfpga only has an accelerated frame buffer and still no real unlicenced GPU. On Sat, 27 Jul 2019, 00.08 justin White wrote: > Ok well I cannot cope with the stress of starting up my

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-27 Thread justin White
>From PCW: The fact that it reads 0 as a version number means there is likely > something wrong with the firmware source. > That is, the driver cannot communicate with the embedded CPU that manages > he communications. > There never was a released version 0. I would expect version 43 if the >

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-26 Thread justin White
The pinfile examples I come across are as you say using the ClockLowTag, looking at the sserialwa.vhd file in mksocfpga "clockmed" is referenced all over the place, but I'm not the guy to be trying to figure out what's what in any type of code unless it's hal or it's obvious. I DL'd the 5i25

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-26 Thread Charles Steinkuehler
On 7/26/2019 5:08 PM, justin White wrote: > >> >> Ok well I cannot cope with the stress of starting up my workstation this >> week and I didn't catch any quartus log in your former post? >> MiB >> > > Lol, rough week eh? > > Post directly after has the quartus snippet with the error, re-ran

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-26 Thread justin White
> > Ok well I cannot cope with the stress of starting up my workstation this > week and I didn't catch any quartus log in your former post? > MiB > Lol, rough week eh? Post directly after has the quartus snippet with the error, re-ran it,full terminal print attached. Again, only happens if in

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-26 Thread Michael Brown
Ok well I cannot cope with the stress of starting up my workstation this week and I didn't catch any quartus log in your former post? MiB On Fri, 26 Jul 2019, 19.07 justin White wrote: > IIRC those are the frequencies listed in the .sv file. It seems from what > PCW said I should be specifying

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-26 Thread justin White
IIRC those are the frequencies listed in the .sv file. It seems from what PCW said I should be specifying ClockMed in the pin file, problem is that when I do I cannot build the firmware. The above error is thrown. -- website: http://www.machinekit.io blog: http://blog.machinekit.io github:

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-25 Thread Michael Brown
I can perhaps look it up later today but clock low is 50mhz clk medium is 100 MHz and clock high should be 200 mhz as far as I can recall. On Fri, 26 Jul 2019, 04.57 justin White wrote: > I whipped up the board with the rs422 tranceiver and I'm getting > *somewhere* I guess. I get a message

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-25 Thread justin White
BTW, when I try to build with SS "ClockMedTag" in the pinfile I get: Error (10482): VHDL error at PIN_st_fpga_soc_dc1f.vhd(80): object "ClockMedTag" is used but not declared File: /work/HW/hm2/config/DExx_Nano_xxx_Cramps/PIN_st_fpga_soc_dc1f.vhd Line: 80 Warning (10236): Verilog HDL Implicit

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-25 Thread justin White
I whipped up the board with the rs422 tranceiver and I'm getting *somewhere* I guess. I get a message transmitted at 115200 or best I can tell from the scope but I'm not sure, Serial Terminal gets printable results at 230400 8n1 *115200 8n1 * A9 AD A9 A9 AD E9

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-23 Thread Charles Steinkuehler
On 7/23/2019 11:28 PM, justin White wrote: > Charles, > > Does the Clock rate specified in the pinfile for the module instance relate > to the clock rate as it's set in the "card" file? Like I said the example I > came across in MKSOCFPGA specified "ClockLowTag" for SS so that is what I >

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-23 Thread justin White
Charles, Does the Clock rate specified in the pinfile for the module instance relate to the clock rate as it's set in the "card" file? Like I said the example I came across in MKSOCFPGA specified "ClockLowTag" for SS so that is what I used. I later tried building it with ClockMedTag for

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-23 Thread Charles Steinkuehler
On 7/22/2019 1:01 AM, justin White wrote: > > For the Sserial thing, I did eventually get MK to dump a logfile that > showed HM2 come up. SSerial is being initiated as far as that goes, it does > print the version. I tried rigging up a Serial cable and sniffing the > transmit line but the 2.5m

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-22 Thread justin White
On Sunday, July 21, 2019 at 2:27:10 PM UTC-4, Michael Brown wrote: > > Besides the 1024x768 (VESA) there are the HD,, (1920 x1080) configs. What > missing screen resolution are you referring to? > I Haven't seen the 1920x1080 config, I've just been building out of the " DE10_Nano_FB_Cramps

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-21 Thread Michael Brown
Besides the 1024x768 (VESA) there are the HD,, (1920 x1080) configs. What missing screen resolution are you referring to? On Sat, 20 Jul 2019, 16.03 Michael Brown wrote: > Monitor resolution is fixed. Changes are done in the qsys video frame > buffer cores. > > On Thu, 18 Jul 2019, 01.59 justin

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-18 Thread Charles Steinkuehler
I _think_ the debugging will get output to the log file if you launch with something like DEBUG=5. I don't think you need to pass any parameters to the hm2_soc_ol driver, it's the hm2 module that takes things like the sserial= option. IIRC, with full debugging turned on, you'll get a dump with

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-18 Thread justin White
Charles, is there any way to see that SSerial is actually running? Typically when you start LinuxCNC from terminal Hm2 will print the sserial version running first. I can’t see this by starting a config with Machinekit. I tried launching a basic source file and manually loadrt from halrun but

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-18 Thread Charles Steinkuehler
>From what I know your pin file looks OK, but I'm not an expert on SSerial. Can you need to expand a bit on "still couldn't get it to work". The hm2 driver will automatically look for and create HAL pins for any SSerial devices it finds, but the far end needs to be powered up and responding to

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-17 Thread justin White
Same as attached on july 13 except I changed SS numinst to 1. On Wednesday, July 17, 2019 at 11:24:07 PM UTC-4, Charles Steinkuehler wrote: > > Got a link to your pin file for review? > > On 7/17/2019 6:59 PM, justin White wrote: > > I used 2 instances of SSerial in the pinfile which was

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-17 Thread Charles Steinkuehler
Got a link to your pin file for review? On 7/17/2019 6:59 PM, justin White wrote: > I used 2 instances of SSerial in the pinfile which was incorrect. I'm told > 1 instance covers 8 SSerial channels. I built a new .rbf with 1 instance > and still couldn't get it to work. PCW confirms that the

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-17 Thread justin White
I used 2 instances of SSerial in the pinfile which was incorrect. I'm told 1 instance covers 8 SSerial channels. I built a new .rbf with 1 instance and still couldn't get it to work. PCW confirms that the encoder/stepgen thing should work just fine so I'm pretty much out of ideas. On a side

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-14 Thread justin White
Any insight on the issue with the rbf? -- website: http://www.machinekit.io blog: http://blog.machinekit.io github: https://github.com/machinekit --- You received this message because you are subscribed to the Google Groups "Machinekit" group. To unsubscribe from this group and stop receiving

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-13 Thread justin White
I can't get a working bitimage out of this .vhd, builds but fails to fully boot after setting the environment. Using the attached .vhd with the renamed "atlas_3x24_cap_enc.sv" with this, but I see it doesn't have any SS parameters. BTW any way to recover from setting the firmware environment

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-13 Thread Michael Brown
Well test it and commit you results back??? On Mon, 8 Jul 2019, 03.38 justin White wrote: > So another thought is that since there is a Tx enable in the hm2 module > it's obviously there for a reason. rs485 is always emphasized but rs485 is > mentioned in alot of cases as well. In the case of

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-07 Thread justin White
So another thought is that since there is a Tx enable in the hm2 module it's obviously there for a reason. rs485 is always emphasized but rs485 is mentioned in alot of cases as well. In the case of an 8i20 it includes jumpers to enable bus termination resistors. So I'm wondering if using the

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-07 Thread justin White
On Sunday, July 7, 2019 at 2:16:22 PM UTC-4, Charles Steinkuehler wrote: > There's good info in the data sheet for that part: > http://www.ti.com/lit/gpn/thvd1451 > ...which is an RS-485 transceiver (RS-485 is the same electrically as > RS-422, but the driver can be switched off). > RS-485

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-07 Thread Charles Steinkuehler
On 7/7/2019 12:22 PM, justin White wrote: > I asked a similar question over on the LCNC forums and PCW confirmed it's > just straight RS-422. The 7i76 was probably a bad example, the LBP section > of the manual for the (e) version confirms what you said about it > communicating with it's own

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-07 Thread justin White
On Sunday, July 7, 2019 at 5:29:40 AM UTC-4, Michael Brown wrote: > Seems like all the infrastructure is in place, copy/overwrite the sserial > tags into your pin custom file and compile the .rbf. > Looks like it will then auto probe the rs422 connected connected 8i20 on > hm2 driver load.

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-07-07 Thread Charles Steinkuehler
On 7/7/2019 4:29 AM, Michael Brown wrote: > Looking at a 7i76e manual it's differential RS422 while the > example configs suggest at the FPGA level it's a 2 pin RX/TX deal, > is that right? One example shows a TX enable pin, but I don't > think this is implemented on a board like

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-06-29 Thread Michael Brown
Its easy to convert float to u32 and visa versa in a handmade hal component. For the printer temp sensor config I created the: hal_temp_atlas.py user component variant instansiated here:

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-06-28 Thread justin White
I figured that was pretty much the case, I just haven't seen the enable_adc parameter used. I added the parameter and the nano_soc_adc pins exposed in HAL as expected. I suppose I didn't fully understand what to expect from the values the pins expose, I see an integer that looks to be 32bits

Re: [Machinekit] Re: DE10 Nano suggested development environment?

2019-06-28 Thread Michael Brown
Yes that tag's fine leave it as it is. (this tag gets the adc support compiled into the .rbf) Since the adc is onboard the adc pins are wired internally (not via gpio's) so there are no pin settings in that file. All you need to do is ensure you load/instansiate the hostmot2_ol driver with the

  1   2   >