Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread Tristan Gingold
On 13/05/17 15:45, Paebbels wrote: @David, @Tristan Shouldn't there be an error that "adder_0" is using a direct entity instantiation and thus a binding in the architecture can't be applied? That's what I get: analyze adder_tb2.vhdl adder_tb2.vhdl:12:8:error: specification does not apply to

Re: [Ghdl-discuss] BUG in GHDL

2017-05-07 Thread Tristan Gingold
On 05/05/17 17:24, vipin kumar soni wrote: Dear Sir, I have found a bug in GHDL (linux version). This is working fine in the windows version of GHDL. Please tell me how to report it. So that it can be modified for Linux version(Ubuntu). You're using a very old version of GHDL (0.31), the

Re: [Ghdl-discuss] Simulation with different standards

2017-04-22 Thread Tristan Gingold
On 21/04/17 11:02, Alban Bourge wrote: Hi everyone, I have some testbench I want to elaborate with --std=08. I use i/o libraries that are way more convenient with VHDL 2008. The problem is that I have to drive a component that is compiled with --std=02 due to the usage of Xilinx unisim and

Re: [Ghdl-discuss] Comparison of ghdl and Cadence Incisive

2017-04-12 Thread Tristan Gingold
Hello, in that case you'd better to use your design and your testbench. They are certainly representative of your coding style and you know them well. Regards. Envoyé avec AquaMail pour Android http://www.aqua-mail.com Le 10 avril 2017 15:24:24 Simon Thijs de Feber a

Re: [Ghdl-discuss] GHDL issue with real numbers in VCD file

2017-03-25 Thread Tristan Gingold
On 24/03/17 18:48, ICDU wrote: same behaviour with windows version 0.34 Yes, I can reproduce the issue too. Investigating. Tristan. ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org https://mail.gna.org/listinfo/ghdl-discuss

Re: [Ghdl-discuss] Xcode Issue

2017-03-01 Thread Tristan Gingold
On 01/03/17 19:56, Andre wrote: Hi All, I run 0.33 on a Mac and have a pretty large design. Tried to elaborate a design this afternoon and it came back with an error advising Xcode needed permissions and then bailed out. Only solved by running the ghdl command under sudo and then came up with

Re: [Ghdl-discuss] problem GNAT while compiling the libraries

2017-02-20 Thread Tristan Gingold
On 20/02/17 15:27, Roberto Hexsel wrote: greetings, I am trying to build ghdl from sources, and following the instructions to the letter. Hello, how did you configure ghdl ? [...] in an attempt to find the Ada compiler: *which gnat* /usr/local/bin/gnat * /usr/local/bin/gnat --version*

Re: [Ghdl-discuss] problem in the final linking step of ghdl

2017-02-07 Thread Tristan Gingold
On 07/02/17 18:54, Roberto Hexsel wrote: Greetings, I built the gcc version, as per the "long instructions", except for making use of the Debian distro Gnat. At the end of the compile for a large-ish model, the following complaint is issued more than 1.000 times (my terminal scrolls 1024

Re: [Ghdl-discuss] warnings while building vital and/or v08ieee

2017-02-07 Thread Tristan Gingold
On 07/02/17 18:48, Roberto Hexsel wrote: Greetings, while building the libraries, the following (and only) warnings were issued by ghdl: /usr/local/bin/ghdl -a --std=08 -P../std --work=ieee ../../src/ieee2008/ieee_bit_context.vhdl /usr/local/bin/ghdl -a --std=08 -P../std --work=ieee

Re: [Ghdl-discuss] GHLD developers guide

2017-02-06 Thread Tristan Gingold
On 06/02/17 17:48, Benedek Rácz wrote: Hi All, Is there any documentation for developers for GHLD? I just want to contribute, but I cannot find any guide to start. I know that a good documentation is available for users. (Who want to use GHDL for simulation) Hello, there is no developer

Re: [Ghdl-discuss] Announcement "New article how to use GHDL" new version

2017-01-08 Thread Tristan Gingold
On 07/01/17 07:09, René Doß wrote: Hallo, I have got response about my article. The interface what I use is not VHPI standard. What interface have I used in my article? Can anybody answer this question? I would know when I had to change this in my article. I think this is not correct. The

Re: [Ghdl-discuss] Re: GCC flavour: Problem with compilation of VHDL libs

2016-11-30 Thread Tristan Gingold
On 30/11/16 19:24, Adrien Prost-Boucle wrote: Nope, compilation of libs does not work if there is no ghdl already installed in the system! the culprit is in the ghdl Makefile, line 173: libs.vhdl.gcc: $(MAKE) GHDL=$(bindir)/ghdl$(EXEEXT) vhdl.libs.all libs.vhdl.standard So in my call

Re: [Ghdl-discuss] GCC flavour: Problem with compilation of VHDL libs

2016-11-29 Thread Tristan Gingold
On 27/11/16 22:57, Adrien Prost-Boucle wrote: Hi, I have found a way to compile the VHDL libraries without first installing GHDL in the system. The warnings are still there but it seems to work. Overall I think what I needed could be enabled with rather generic modifications to the ghdl

Re: [Ghdl-discuss] Something wrong with my build of ghdl, but I don't know what failed.

2016-11-20 Thread Tristan Gingold
On 20/11/16 18:32, Stelios Loukadakis wrote: Hello, I also seem to have exactly the same issue but I'm totally confused on how to solve it.I'm using debian testing with GGC 6.2 and GNAT 6.2 and llvm 3.5 for building the latest version of ghdl source. This is the output from GCC -v gcc -v

Re: [Ghdl-discuss] GCC flavour: Problem with compilation of VHDL libs

2016-11-19 Thread Tristan Gingold
On 19/11/16 15:15, Andrey Gursky wrote: [...] Actually using already compiled parts to generate something else during build is not uncommon. But in such cases Makefile uses executables in their build path, not installed one. Hopefully this could be fixed. And the other thing would be to add

Re: [Ghdl-discuss] Something wrong with my build of ghdl, but I don't know what failed.

2016-11-15 Thread Tristan Gingold
On 15/11/16 08:09, Svenn Are Bjerkem wrote: On 14 November 2016 at 20:34, Tristan Gingold <tging...@free.fr> wrote: Try to add -v options: ghdl -m -v -v ... ghdl -m -v -v --ieee=synopsys mc8051_top_struc_cfg ... lots of lines indicating that /usr/local/bin/ghdl1-llvm is doing i

Re: [Ghdl-discuss] Something wrong with my build of ghdl, but I don't know what failed.

2016-11-15 Thread Tristan Gingold
On 15/11/16 08:19, Svenn Are Bjerkem wrote: Just an observation. When building with make, I see that gcc-5 and gcc are used like this example: gcc-5 -c -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm-nodebug -I./src/ghdldrv

Re: [Ghdl-discuss] Something wrong with my build of ghdl, but I don't know what failed.

2016-11-14 Thread Tristan Gingold
On 14/11/16 15:48, Svenn Are Bjerkem wrote: Hi, just pulled latest ghdl from github and rebuild the way I usually do: git pull make distclean ./configure --with-llvm-config make sudo make install First thing I notice is when building a project I have built before is: ghdl -m --ieee=synopsys

Re: [Ghdl-discuss] Signal selection in wave

2016-11-01 Thread Tristan Gingold
On 01/11/16 21:08, Jonas Baggett wrote: Hello, With the last git version of GHDL, there is a new --write-opt-file option that will create a wave option file with all the signals of the design. Please note that there is a backward incompatible change as the --wave-opt-file option is renamed to

Re: [Ghdl-discuss] Synthesis for FPGAs / XML dump

2016-10-17 Thread Tristan Gingold
On 17/10/16 12:54, why...@f-cpu.org wrote: Le 2016-10-17 12:37, Salvador Eduardo Tropea a écrit : El 15/10/16 a las 03:21, Tristan Gingold escribió: I have just added a new command to dump the AST tree in XML: ghdl --file-to-xml FILEs Thanks! Thanks from me too ! I didn't know it would

Re: [Ghdl-discuss] Synthesis for FPGAs / XML dump

2016-10-17 Thread Tristan Gingold
On 17/10/16 11:29, Martin Strubel wrote: Hi Tristan, Comments and suggestions are welcome. 1) Cool stuff! That might come in very handy for Co-Simulation and other code analysis 2) Could you post a simple example XML output of a FF/register assignment (only if you have the nerve for it, of

Re: [Ghdl-discuss] Synthesis for FPGAs / XML dump

2016-10-15 Thread Tristan Gingold
On 15/10/16 11:07, Patrick Lehmann wrote: Hello Tristan, I have seen many bad structured XML formats in my life, but GHDL's output looks VERY GOOD! Especially that you use XML attributes and ids. It is written by hand and not very complex. Nodes have id, lists have list-id. This is not very

Re: [Ghdl-discuss] Synthesis for FPGAs / XML dump

2016-10-15 Thread Tristan Gingold
line="14" col="5" label="" visible_flag="false"> kind=&quo

Re: [Ghdl-discuss] Re: Synthesis for FPGAs

2016-10-05 Thread Tristan Gingold
On 05/10/16 20:25, Patrick Lehmann wrote: Hello, I think, if an interface is required, it should export a DOM not the AST. ASTs are parser specific and hard to read. I don't know the AST of GHDL, but I had to use other ASTs which needed a lot of work to read/parse them. The lately announced

Re: [Ghdl-discuss] Re: Synthesis for FPGAs

2016-10-05 Thread Tristan Gingold
On 05/10/16 19:35, Adrien Prost-Boucle wrote: Hi, But if adding a fourth "flavour" is possible - in addition to gcc, llvm and mcode - which would be generation of shared lib of the parser (or anything not gcc or llvm specific) + corresponding headers for C/C++/bindings-for-your-preferred-

Re: [Ghdl-discuss] Synthesis for FPGAs

2016-10-04 Thread Tristan Gingold
On 04/10/16 13:09, Brian Drummond wrote: On Tue, 2016-10-04 at 05:49 +0200, Tristan Gingold wrote: On 03/10/16 22:33, why...@f-cpu.org wrote: Why ? Instead of translating the AST to mcode, you can write a pass that transform it into a netlist. That was always on my TODO list, I just have

Re: [Ghdl-discuss] Synthesis for FPGAs

2016-10-03 Thread Tristan Gingold
On 03/10/16 22:33, why...@f-cpu.org wrote: Le 2016-10-03 22:23, Adam Jensen a écrit : On 10/03/2016 02:19 PM, why...@f-cpu.org wrote: Let's think again about an idea I suggested, probably in 2009... Can you find a link to that suggestion? I would like to read it and trace this idea's history.

Re: [Ghdl-discuss] Re: Synthesis for FPGAs

2016-10-03 Thread Tristan Gingold
On 03/10/16 21:14, Adrien Prost-Boucle wrote: Clarification: I am NOT asking that GHDL is rewritten from toe to neck! But if adding a fourth "flavour" is possible - in addition to gcc, llvm and mcode - which would be generation of shared lib of the parser (or anything not gcc or llvm

Re: [Ghdl-discuss] Signal selection work

2016-09-12 Thread Tristan Gingold
On 12/09/16 12:01, Andre wrote: Hi All, A very quick question. There was some work being done about two months ago on creating a file with specific selection of signals to record rather than the whole signal set. Is there any progress in this ? Yes, see option --wave-opt-file Regards,

Re: [Ghdl-discuss] How to pass a package as generic parameter to another package

2016-08-24 Thread Tristan Gingold
On 15/08/16 14:37, Patrick Lehmann wrote: Hello, how can I pass a package as generic parameter to another package in GHDL? You can do that only if you follow the vhdl restrictions: you can only pass an instance of a generic package. Currently, GHDL doesn’t support type generics, so I

Re: [Ghdl-discuss] cmd.exe based build scripts for Windows

2016-08-24 Thread Tristan Gingold
On 08/08/16 17:24, Patrick Lehmann wrote: Hello, does anybody still use the old cmd.exe (Command Line / DOS Box) based build scripts? To be honest, I still use them when I compile ghdl on my old Windows-XP computer. Can we remove the old compile.bat and complib.bat files? I'd would

Re: [Ghdl-discuss] Wave Selection

2016-07-26 Thread Tristan Gingold
On 25/07/16 21:26, Jonas Baggett wrote: Hi Andre, Do you mean that sometimes you add several times the same signal in the waveform in order to be able to compare them with different signals ? It also happened for me to do the same. Currently what the code does is looking for every signal in

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-07-22 Thread Tristan Gingold
On 22/07/16 23:44, Jonas Baggett wrote: Hello Tristan, I have just pushed my work in my github remote. Branch name is jonsba/signals_selection, so now you can review it. Why not creating a pull-request ? It simplifies the review process. Here is an example of a wave option file : $

Re: [Ghdl-discuss] Wave Form Select Files, a large design to test it on ?

2016-07-22 Thread Tristan Gingold
On 22/07/16 19:15, Rene Doss wrote: Hallo Tristan, I have also a large open design. A MIPS CPU. http://www.dossmatik.de/mais-cpu.html code http://www.dossmatik.de/mais/MAIS_CPU_V1.zip It has a makefile in the subfolder sim make make view Thanks! Tristan.

Re: [Ghdl-discuss] Ghdl-discuss Digest, Vol 128, Issue 20

2016-07-22 Thread Tristan Gingold
On 22/07/16 19:47, Andre wrote: Hi Tristan, It's not Open Source as it were as this is entirely my own work and not released into the wild which I'd prefer to do until maybe later. Have a look here https://hackaday.io/project/8499-trinity-core-and-net Thanks for the pointer. Tristan.

Re: [Ghdl-discuss] Wave Form Select Files, a large design to test it on ?

2016-07-22 Thread Tristan Gingold
boration in the GHDL project (Jonas Baggett) 2. Re: Collaboration in the GHDL project (Tristan Gingold) 3. Enabling Waveform Capture after some time (Thomas Dejanovic) 4. Re: Enabling Waveform Capture after some time (Tristan Gingold) --

Re: [Ghdl-discuss] Enabling Waveform Capture after some time

2016-07-20 Thread Tristan Gingold
On 20/07/16 22:56, Jonas Baggett wrote: Hello, Yes that makes sense to me. I can work on that after I reached some point in the developpment of the waveform select files. Why not! We can generalize this request as a request for a CLI. Tristan.

Re: [Ghdl-discuss] Enabling Waveform Capture after some time

2016-07-19 Thread Tristan Gingold
Hello, On 20/07/16 04:16, Thomas Dejanovic wrote: I have a test that fails after @1988277252845fs. It's a randomisation test so i don;t know how to make it fail sooner. The wave file is 525 Mbytes and GTKWave gets killed by my OS while starting up. fst files (--fst=) are much smaller. Did

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-07-19 Thread Tristan Gingold
Hello, On 19/07/16 12:10, Jonas Baggett wrote: Now I have a first working version of signal selection. Currently it supports only full name signals, meaning that wildcards aren't supported yet (that's number 3 in your list of important features). Great! And currently it works only for the

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-07-10 Thread Tristan Gingold
On 09/07/16 21:19, Rene Doss wrote: I see in the mailing list a discussion around scripting, can you first implement the "hierarchical instance name", this is part of VHDL2008? Well, both are completely independent. I still plan to implement external names, but that first require some

Re: [Ghdl-discuss] Creating a shared library

2016-07-09 Thread Tristan Gingold
On 09/07/16 14:29, Richter, Arne wrote: I checked Modelsim for their capabilities and in theory it is possible with it. But since my project should be open source at the end (it's for my master thesis), I prefer open source and free tools. Ok, great! I was just surprised because it was

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-07-08 Thread Tristan Gingold
On 09/07/16 06:59, Jonas Baggett wrote: Hello Tristan, On 07/07/16 18:50, Jonas Baggett wrote: Hello Tristan, There is also the case of signals in a package : I would suggest the following syntax : pkg.the_signal. It seems better to me than /pkg/the_signal. Why ? /pkg/the_signal is more

Re: [Ghdl-discuss] bug in default value of procedure parameters (ghdl 0.33)

2016-07-08 Thread Tristan Gingold
On 08/07/16 17:30, Yann Le Corre wrote: Hi All, I might have found a bug in ghdl 0.33. My code worked with version 0.31 or 0.32 (I can't remember which one I did use at that time). It seems be relative to default values for procedure parameters of type "time", as described in the following

Re: [Ghdl-discuss] Creating a shared library

2016-07-07 Thread Tristan Gingold
schrieb Tristan Gingold <tging...@free.fr>: On 05/07/16 21:56, Richter, Arne wrote: Hi all, I'm currently trying to build a test-pipeline using Python to describe my test cases. Now I want to add GHDL tests into my pipeline by compiling them with a C wrapper into a shared library. So far s

Re: [Ghdl-discuss] Creating a shared library

2016-07-05 Thread Tristan Gingold
On 05/07/16 21:56, Richter, Arne wrote: Hi all, I'm currently trying to build a test-pipeline using Python to describe my test cases. Now I want to add GHDL tests into my pipeline by compiling them with a C wrapper into a shared library. So far so good. With the prebuilt OSX version everything

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-07-05 Thread Tristan Gingold
On 03/07/16 20:47, Adam Jensen wrote: On 07/03/2016 11:20 AM, Jonas Baggett wrote: Hello, I had some more thoughs on the signal selection for the waveform, and I made an example of a wave signal file (is there a better english therm for that ?) that reflects them : Have you considered

Re: [Ghdl-discuss] finish() and std.env

2016-07-05 Thread Tristan Gingold
On 05/07/16 07:44, Thomas Dejanovic wrote: Hi all, I'm looking for a way to get the finish() functionality provided by std.env. The work around of issuing an assertion with a severity failure is not an acceptable solution. What is wrong with std.env.finish ? Why not using it ? Oh and

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-07-04 Thread Tristan Gingold
On 04/07/16 17:48, Lehmann, Patrick wrote: Hello Adam, I'm not implementing this feature, so it's not my choice, but Tcl is a horrible language ;) I know it was originally designed as an embedded scripting language especially for CAE (EDA, CAD, ...) tools, but there are way better and easier

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-07-03 Thread Tristan Gingold
Hello, I had some more thoughs on the signal selection for the waveform, and I made an example of a wave signal file (is there a better english therm for that ?) that reflects them : # version 1.5 # Comments begin with # # Display every signals, variables and generics of

Re: [Ghdl-discuss] installing multiple versions of ghdl -- strange issue with coverage analysis

2016-07-03 Thread Tristan Gingold
On 03/07/16 15:46, Walter F.J. Mueller wrote: Hi, I wanted multiple versions of ghdl on my system (e.g. 0.33 and 0.34dev). So I installed them into different paths and added a softlink switch like ln -s /ghdl/ghdl-0.33-llvm/bin/ghdl ghdl-0.33-llvm ln -s

Re: [Ghdl-discuss] How to configure gcc properly

2016-07-02 Thread Tristan Gingold
On 02/07/16 18:30, Walter F.J. Mueller wrote: Hallo, in the ghdl 0.33 source package available from sourceforge gcc is configured in build.sh as ../gcc-4.9.3/configure --prefix=/usr/local --enable-languages=c,vhdl \ --disable-bootstrap \ --disable-multilib \ --disable-lto \

Re: [Ghdl-discuss] ghdl -r --threads options

2016-07-02 Thread Tristan Gingold
On 02/07/16 19:04, Walter F.J. Mueller wrote: Hallo, when looking through the ghdl sources in the current git master I found in ./grt/grt-options.adb some code implementing the option --threads=N use N threads for simulation The option isn't shown in --help, text commented out. When

Re: [Ghdl-discuss] GHDL and -Wl option

2016-06-25 Thread Tristan Gingold
On 25/06/16 11:04, Brian Drummond wrote: On Fri, 2016-06-24 at 11:57 +0200, Vít Fábera wrote: Hello, I asked for some extension of GHDL to use GHDL as a real-time simulator last month via e-mail to your forum. I received several answers and I downloaded extension library with C functions I

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-06-23 Thread Tristan Gingold
On 23/06/16 20:40, Jonas Baggett wrote: Le 23. 06. 16 à 08:01, Tristan Gingold a écrit : On 23/06/16 07:43, Jonas Baggett wrote: Hi Tristan, I if understand well, what needs to be done is to add the possibility that each write to either the console or a file can be unbuffered, right

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-06-23 Thread Tristan Gingold
Hello, I started looking at the ghw waveform generation and I have found the procedure Wave_Put_Hierarchy_1 in grt-waves.adb where all signals are extracted from the instance given in parameter. This instance contains the hierarchy of the design. Before that there is something called hooks that

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-06-22 Thread Tristan Gingold
On 22/06/16 07:08, jon...@tranquille.ch wrote: Hi Tristan, I have a question : is it possible to add debugging symbols in the simulation executable or at least to show the stacktrace of one particular point of the code ? Yes. If you use the llvm or gcc backend, simply analyze and elaborate

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-06-20 Thread Tristan Gingold
On 20/06/16 23:13, Jonas Baggett wrote: Hi Tristan, I didn't have time these previous days to work on the project, but now I will have some time. I have one question : is there some documentation about ghdl internal structure ? I can work without it but if it is available it can be still

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-06-20 Thread Tristan Gingold
Jonas, I have pushed my changes to handle enumerations in the fst dumper. I don't plan to work in this area in order not to trouble you. There is also a new ticket (#87) on autoflush. That's even easier. Do not hesitate to ask for help! Tristan.

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-06-16 Thread Tristan Gingold
On 15/06/16 23:29, Jonas Baggett wrote: Le 15.06.16 18:37, Tristan Gingold a écrit : On 15/06/16 17:17, Jonas Baggett wrote: Hello, I can have a look at the bug tracker and work on the FST waveforms dumper. What needs to be done ? I have just started to work on dumping enums in FST

Re: [Ghdl-discuss] Problem with the generics

2016-06-15 Thread Tristan Gingold
On 15/06/16 15:12, Patrick Pouget wrote: Hi GHDL 0.32rc1 compiled with gcc+gnat 4.9.2 Note that 0.32 is a little bit old. I am going to write a "light" code soon But a reproducer would be still nice to investigate the issue. There is no need to be very small (although the smaller the

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-06-15 Thread Tristan Gingold
On 15/06/16 17:17, Jonas Baggett wrote: Hello, I can have a look at the bug tracker and work on the FST waveforms dumper. What needs to be done ? I have just started to work on dumping enums in FST. But records are still missing. It would also be nice to be able to select signals to be

Re: [Ghdl-discuss] Collaboration in the GHDL project

2016-06-14 Thread Tristan Gingold
On 14/06/16 11:41, Andrey Gursky wrote: On Mon, 13 Jun 2016 20:21:39 +0200 Tristan Gingold <tging...@free.fr> wrote: On 13/06/16 00:07, Jonas Baggett wrote: Hello, I am thinking about collaborating in this project. My name is Jonas Baggett, I am an electronic engineer and I have 2

Re: [Ghdl-discuss] Multi language simulation and ghdl

2016-06-13 Thread Tristan Gingold
On 12/06/16 15:46, Walter F.J. Mueller wrote: Hi *, in the FOSDEM16 ghdl slides https://fosdem.org/2016/schedule/event/ghdl/attachments/slides/940/export/events/attachments/ghdl/slides/940/FOSDEM16_ghdl.pdf I see some statements on 'Multi language simulation' The target seems to be VHDL +

Re: [Ghdl-discuss] attribute decorations of port signals

2016-06-13 Thread Tristan Gingold
On 12/06/16 15:26, Walter F.J. Mueller wrote: Hi *, the Xilinx vivado tool chain creates simulation model where signal are decorated with an 'attribute RTL_KEEP'. That can happen even for port signals. ghdl apparently does not allow that port signals are decorated and aborts with an error

Re: [Ghdl-discuss] autoflush for stdout

2016-06-13 Thread Tristan Gingold
On 12/06/16 15:36, Walter F.J. Mueller wrote: Hi *, I'm using ghdl with VHPI to setup co-simulations. In the 'external' part stdout is either set to autoflush (e.g. in perl), or a flush is done after each message (e.g. in C/C++). In my test environment the output is usually send through a

Re: [Ghdl-discuss] How to find out source of an ieee/numeric_std-body.v93 assertion warings

2016-06-13 Thread Tristan Gingold
On 12/06/16 17:25, Walter F.J. Mueller wrote: Hi *, I've some .../ieee/numeric_std-body.v93:1005:7:@341832fs:(assertion warning): NUMERIC_STD.">": metavalue detected, returning FALSE warning and would like to find out the source code line which triggered this. Is there any mechanism

Re: [Ghdl-discuss] code coverage with ghdl 0.33

2016-06-11 Thread Tristan Gingold
On 10/06/16 20:18, Walter F.J. Mueller wrote: On 06/05/2016 11:38 AM, Tristan Gingold wrote: Which ghdl are you using ? Try ghdl -v gcov only works with gcc back-end. Dear Tristan, I'm using the ghdl on Ubuntu 14;04LTS, use the package provided on sourceforge ghdl_0.33-1ubuntu1_amd64

Re: [Ghdl-discuss] code coverage with ghdl 0.33

2016-06-05 Thread Tristan Gingold
On 04/06/16 15:58, Walter F.J. Mueller wrote: On 05/03/2016 09:07 AM, Antonio Bergnoli wrote: A code coverage article was written by Arnim Läuger in 2005. A copy can be found here: http://home.mnet-online.de/al/ghdl_gcov/ghdl_gcov.html Are you referring to this article, by writing "in the

Re: [Ghdl-discuss] ported GHDL 0.33 to Solaris 10 / SPARC

2016-05-24 Thread Tristan Gingold
On 24/05/16 14:43, Sanjay Singh wrote: Just a quick note that after lots of effort, and digging into gcc itself, I managed to compile a version of GCC 4.9.2 (to match one of the versions offered by opencsw.org for Solaris machines) which GHDL-0.33 grafted onto it. Note that the development

Re: [Ghdl-discuss] A legacy Yann's code doesn't compile in current ghdl

2016-05-19 Thread Tristan Gingold
On 19/05/16 12:48, Christiano SA wrote: The researcher made several codes to interfacing with ghdl, here: http://ygdes.com/GHDL/ The fb code has a problem: ~/fb $ ghdl -a fb_ghdl.vhdl Stored value type does not match pointer operand type! store i32 %101, [0 x i32]** @work__fb_ghdl__pixel [0

Re: [Ghdl-discuss] ghdl -r produces compilation error

2016-05-07 Thread Tristan Gingold
On 07/05/16 08:54, Tarek Najjar wrote: Finally my problem is solved . actually i installed libgnat-4.9-dbg and *ghdl_0.33-1jessie1_i386.deb* and the problem was gone. but when i included a user-defined

Re: [Ghdl-discuss] ghdl -r produces compilation error

2016-05-06 Thread Tristan Gingold
crct1.vhd indeed but i "manually" typed (my_file) here for illustrative purposes > To: ghdl-discuss@gna.org > From: tging...@free.fr > Date: Thu, 5 May 2016 20:50:51 +0200 > Subject: Re: [Ghdl-discuss] ghdl -r produces compilation error > > On 05/05/16

Re: [Ghdl-discuss] ghdl -r produces compilation error

2016-05-05 Thread Tristan Gingold
On 05/05/16 13:23, Tristan Gingold wrote: On 05/05/16 11:23, Tarek Najjar wrote: $> ghdl -v GHDL 0.31 (20140108) [Dunoon edition] Compiled with GNAT Version: 4.8 GCC back-end code generator Written by Tristan Gingold. Copyright (C) 2003 - 2014 Tristan Gingold. GHDL is free softw

Re: [Ghdl-discuss] ghdl -r produces compilation error

2016-05-05 Thread Tristan Gingold
On 05/05/16 11:23, Tarek Najjar wrote: $> ghdl -v GHDL 0.31 (20140108) [Dunoon edition] Compiled with GNAT Version: 4.8 GCC back-end code generator Written by Tristan Gingold. Copyright (C) 2003 - 2014 Tristan Gingold. GHDL is free software, covered by the GNU General Public Lice

Re: [Ghdl-discuss] ghdl -r produces compilation error

2016-05-05 Thread Tristan Gingold
On 05/05/16 08:40, Tarek Najjar wrote: Hello Every one I am using ghdl on Ubuntu 14.04 and vim syntastic before I used to have no problem with the sequence: ghdl -a my_file.vhd ghdl -e my_file ghdl -r my_file but after installing syntastic (and I am not sure of this

Re: [Ghdl-discuss] Huge simulation speed slowdown

2016-03-15 Thread Tristan Gingold
On 15/03/16 00:40, Adrien Prost-Boucle wrote: Hi, Waow. I know this isn't the best mux code ever, but I didn't imagine it could be that painful... Adrien, this issue is now fixed by my latest patches. There was a threshold effect that prevented memory reuse and therefore slowed down

Re: [Ghdl-discuss] Huge simulation speed slowdown

2016-03-14 Thread Tristan Gingold
On 09/03/16 21:37, Adrien Prost-Boucle wrote: Hi, I have a VHDL design that GHDL simulates at a speed of only one or 2 clock cycles per second. The same design, same VHDL files, is simulated by Xilinx Vivado 2015.3 at a speed of 400 clock cycles per second. For all other designs I have and/or

Re: [Ghdl-discuss] Huge simulation speed slowdown

2016-03-09 Thread Tristan Gingold
On 09/03/16 21:37, Adrien Prost-Boucle wrote: Hi, I have a VHDL design that GHDL simulates at a speed of only one or 2 clock cycles per second. The same design, same VHDL files, is simulated by Xilinx Vivado 2015.3 at a speed of 400 clock cycles per second. For all other designs I have and/or

Re: [Ghdl-discuss] git e7adf19

2016-02-23 Thread Tristan Gingold
On 23/02/16 18:36, Thomas Sailer wrote: Hi Tristan / List, I'm having a few issues with e7adf19. When compiling a design with mcode, I get: error_emit: emit_insn: move/b2, insn= 184 (OE_MOVE) Message: ortho_code-x86-emits.adb:146 explicit raise With gcc or llvm, I can compile the design,

Re: [Ghdl-discuss] GHDL and cocotb

2016-02-14 Thread Tristan Gingold
On 13/02/16 19:57, Torsten Meißner wrote: Hello, the readme on Github contains the info, that GHDL should support cocotb. So I tried that. I pulled the latest code from Github, installed LLVM 3.5 und built GHDL with LLVM backend. That runned very smoothly, a lot smoother than building with

Re: [Ghdl-discuss] How to analyze and elaborate VHDL-AMS files

2016-02-13 Thread Tristan Gingold
On 12/02/16 21:26, Ludwig, Marc wrote: Hi folks, I'm trying to extend my existing VHDL universe with VHDL-AMS. To get a look inside I tried to analyze one of the 'vest' files included inside the git repo

Re: [Ghdl-discuss] GHDL reports a bug while processing

2016-01-27 Thread Tristan Gingold
On 27/01/16 21:29, John Chapple wrote: Hello Tristan The code size in this testbench project amounts to about 512k of text. I am inclined to submit all of it so your maintainers can see exactly what happened. I will submit the build file as well. Oh yes, please submit it. You could send it

Re: [Ghdl-discuss] ghdl is crashing on a simple program

2016-01-17 Thread Tristan Gingold
On 17/01/16 23:28, John Chapple wrote: Hello Listers, I'm running GHDL on 64bit Ubuntu 14.04 LTS. A typical session is thus: $ mkdir work $ ghdl -i --workdir=work test3.vhdl $ ghdl -m --workdir=work tb_and8 analyze test3.vhdl elaborate tb_and8 /usr/bin/ld: cannot find -lz collect2: error: ld

Re: [Ghdl-discuss] Compiling GHDL with LLVM backend for Windows

2016-01-12 Thread Tristan Gingold
Hello, clang++.exe: warning: argument unused during compilation: '-L.\' clang++.exe: warning: argument unused during compilation: '-L.\' clang++.exe: warning: argument unused during compilation: '-LC:/Tools/GNAT/2015/lib/gcc/i686-pc-mingw32/4.9.3/adalib/' clang++.exe: error: unable to execute

Re: [Ghdl-discuss] method return type must not be access of file

2016-01-03 Thread Tristan Gingold
On 03/01/16 14:57, Lehmann, Patrick wrote: Hello Tristan, This explains a lot... I think you can emit the error at the access type declaration. Currently it's emitted at the usage. I have improved the diagnostic, testing in progress. Thank you for the report, Tristan.

Re: [Ghdl-discuss] method return type must not be access of file

2016-01-03 Thread Tristan Gingold
On 03/01/16 14:02, Lehmann, Patrick wrote: Hello, I'm experimenting with VHDL-2008 features and protected types and got this error: method return type must not be access of file My code uses 2 protected types, wherin one returns the otherone as a pointer (access type) because protected types

Re: [Ghdl-discuss] Makefile:101: recipe for target 'ghdl_mcode' failed

2015-12-23 Thread Tristan Gingold
On 22/12/15 21:20, Rene Doss wrote: Hallo, I would compile GHLD from scratch on my new computer. I get an error. Because I am not an ADA expert I want post here this problem. Also note the important output: red@linux-rysn :~/iso/ghdl/ghdl> ./configure

Re: [Ghdl-discuss] Makefile:101: recipe for target 'ghdl_mcode' failed

2015-12-22 Thread Tristan Gingold
On 22/12/15 21:20, Rene Doss wrote: Hallo, I would compile GHLD from scratch on my new computer. I get an error. Because I am not an ADA expert I want post here this problem. ghdl_mcode works only with a 32 bit compiler (i386) and you are using a 64 bit compiler (x86_64). Tristan.

Re: [Ghdl-discuss] Some changes I had to do on Debian stretch/sid to compile llvm from github

2015-12-08 Thread Tristan Gingold
On 08/12/15 12:54, Svenn Are Bjerkem wrote: Hi, maybe this is already known, but I had to do a couple of modifications to get ghdl-llvm compiled as the gcc version is 5.2 and llvm is 3.6 In the 'configure' script, I changed the test for llvm-config to llvm-config-3.5 This will be overwritten by

Re: [Ghdl-discuss] GHDL for Windows with LLVM backend?

2015-12-08 Thread Tristan Gingold
On 09/12/15 03:13, Lehmann, Patrick wrote: Has anyone tried to compile GHDL for Windows with an LLVM backend? No, as far as I know. I mastered a handful of steps (no warranty for final success): -Don’t download LLVM as a windows package – llvm-config is missing! -Download the LLVM sources

Re: [Ghdl-discuss] SYSTEM.ASSERTIONS.ASSERT_FAILURE in trans.adb:393 (Set_Scope_Via_Param_Ptr)

2015-12-02 Thread Tristan Gingold
On 02/12/15 16:00, Lehmann, Patrick wrote: According to your last mail, you are using an old GHDL version: GHDL 0.33dev (20141104) There have been some fixes for the entity syntax since Nov. 2014. There is still a little bug, if you use entity syntax in recursive instantiations. See issue #2 on

Re: [Ghdl-discuss] SYSTEM.ASSERTIONS.ASSERT_FAILURE in trans.adb:393 (Set_Scope_Via_Param_Ptr)

2015-12-02 Thread Tristan Gingold
On 02/12/15 00:01, Lehmann, Patrick wrote: Hello Attila, in the instantiation code line of tdc_lbc_cls is no library referenced. You need to add "work.". Not in that case, because myEntity is directly visible. Tristan. label : entity myLibrary.myEntity generic map (...) port map

Re: [Ghdl-discuss] Bug in array signal asignment

2015-11-26 Thread Tristan Gingold
On 27/11/15 01:31, David Koontz wrote: On 27/11/2015, at 11:47 am, Attila Kinali > wrote: It seems like there is some strange interaction between the TDC_BUF process and its for loops and the TDCSIGNAL generate statement at the bottom. Longest

Re: [Ghdl-discuss] GHDL from Source on Slackware 14.1 32-bit

2015-11-26 Thread Tristan Gingold
On 26/11/15 11:28, Simon Thijs de Feber wrote: Currently I am building ghdl with Slackware-current 32-bit version. This release has native gcc @ 4.9.3 Using the build script from sourceforge. All works fine except for the ghdl-install itself. Can fix it by copying the required files. Great.

Re: [Ghdl-discuss] Muting a specific assert/warning

2015-11-26 Thread Tristan Gingold
On 26/11/15 18:41, Attila Kinali wrote: Moin, I just build myself a larger testbench that takes larger array of randomly generated real values and casts them into an sfixed. For obvious reasons i get this warning: ../../src/ieee2008/fixed_generic_pkg-body.vhdl:2546:9:@57675ps:(assertion

Re: [Ghdl-discuss] integer range

2015-11-26 Thread Tristan Gingold
On 26/11/15 08:08, KIMURA Masaru wrote: FYI, and you may know, but i've not tested actually, some closed source VHDL simulators (such as Aldec Riviera-PRO) have relax options (e.g. integer range), IIRC. Never heard about that. Any pointer or detail about this feature would be useful. e.g.

Re: [Ghdl-discuss] integer range

2015-11-25 Thread Tristan Gingold
On 25/11/15 12:00, KIMURA Masaru wrote: I write some VHPI interface for a 32 bit engine. Some functions works an some not. Now I have found out my problem with this two examples. I need to exchange value c to vhdl and reverse. I have the range of 32 bit. My range is 0x to 0x

Re: [Ghdl-discuss] how to show variables in waveform

2015-11-25 Thread Tristan Gingold
On 25/11/15 15:12, Ralf Hallmen wrote: 2015-11-23 21:21 GMT+01:00 Tristan Gingold <tging...@free.fr <mailto:tging...@free.fr>>: On 21/11/15 01:37, Peter LaDow wrote: On Fri, Nov 20, 2015 at 2:52 PM, <why...@f-cpu.org <mailto:why...@f-cpu.org>> wrote

Re: [Ghdl-discuss] integer range

2015-11-25 Thread Tristan Gingold
On 26/11/15 03:51, KIMURA Masaru wrote: FYI, and you may know, but i've not tested actually, some closed source VHDL simulators (such as Aldec Riviera-PRO) have relax options (e.g. integer range), IIRC. Never heard about that. Any pointer or detail about this feature would be useful. e.g.

Re: [Ghdl-discuss] integer range

2015-11-24 Thread Tristan Gingold
On 24/11/15 21:10, René Doß wrote: I have a question. What is the range of integer in GHDL? Try: ghdl --disp-standard and look for integer: type integer is range -2147483648 to 2147483647; This works (wert>2^31): signal wert: std_logic_vector(31 downto 0):= X""; signal a:

  1   2   3   4   >