Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread David Koontz
> On May 13, 2017, at 11:48 PM, Тихомиров Валентин wrote: > > The command is > > ghdl -a adder.vhdl && ghdl --elab-run adder_tb > > and it analyzes the correct file which I prove by injecting a terrible > syntactic error and it fails to parse. In addition to a selected

Re: [Ghdl-discuss] Compile error: actual expression must be globally static

2016-11-11 Thread David Koontz
> On Nov 12, 2016, at 9:58 AM, Carlos Alberto Ruiz > wrote: > > Hello Andrey, > > I've changed the code and made it compatible with standard VHDL. > > --- Non-static expressions as actuals in port map associations is a -2008

Re: [Ghdl-discuss] Elapsed time increasing more than exponentially with linear increase in simulation time

2016-08-13 Thread David Koontz
> On 09 Aug 2016, at 1:00 pm, Thomas Dejanovic > wrote: > I have a simulation sending packets through a system. It works very > well until we start to use some Xilinx primitives. If I break the > system down and leave out the module that has Xilinx primitives, the

Re: [Ghdl-discuss] Better way for integer handling in VHDL ?

2016-07-27 Thread David Koontz
> On 28 Jul 2016, at 2:08 am, Patrick Lehmann > wrote: > > Hmmm 9.5 > > I must have missed the point that universal_expressions are also bound by > "normal" integers/implementation restrictions It's common believe me. > But how does it relate to some

Re: [Ghdl-discuss] Better way for integer handling in VHDL ?

2016-07-27 Thread David Koontz
> On 27 Jul 2016, at 7:29 pm, Patrick Lehmann > wrote: > > The languages doesn't forbid large integers, but must tools are restricted > in handling such large literals/signals/variables. You could create your own > "64 bit" integer: It's safer to say that the

Re: [Ghdl-discuss] Huge simulation speed slowdown

2016-03-14 Thread David Koontz
I had gone through and characterized your design looking for anomalies, also looked at the previous one you had reported and Tristan responded to about ghdl's slow-ish concatenation. The idea was to look for things before the effort of profiling. I found those 5,204 input vectors (bytes) that

Re: [Ghdl-discuss] Huge simulation speed slowdown (with llvm)

2016-03-09 Thread David Koontz
> On 10/03/2016, at 10:50 am, Lehmann, Patrick > wrote: > > Hello Adrien, > > Can you give some information on your used GHDL installation? > - version number or source code checkout date > - backend: gcc, llvm, mcode > > Are you exporting the simulation

Re: [Ghdl-discuss] git e7adf19

2016-02-23 Thread David Koontz
> On 24/02/2016, at 6:36 am, Thomas Sailer wrote: > > Hi Tristan / List, > > I'm having a few issues with e7adf19. > > When compiling a design with mcode, I get: > > error_emit: emit_insn: move/b2, insn= 184 (OE_MOVE) > > Message: ortho_code-x86-emits.adb:146

Re: [Ghdl-discuss] SYSTEM.ASSERTIONS.ASSERT_FAILURE in trans.adb:393 (Set_Scope_Via_Param_Ptr)

2015-12-01 Thread David Koontz
> On 2/12/2015, at 9:45 am, Attila Kinali wrote: > > http://attila.kinali.ch/tdc/ david_koontz@Macbook: ghdl -a --std=08 tdc_lbc_cls.vhd GHDL Bug occured Please report this bug on http://gna.org/projects/ghdl GHDL release:

Re: [Ghdl-discuss] Bug in array signal asignment

2015-11-26 Thread David Koontz
> On 27/11/2015, at 4:43 pm, Tristan Gingold wrote: > > I would simply add that this is one of vhdl pitfall. VHDL behaviour > is not very intuitive. > > This could be detected at elaboration time by using std_ulogic instead of > std_logic. > > (You'd better to always use

Re: [Ghdl-discuss] integer range

2015-11-24 Thread David Koontz
> On 25/11/2015, at 9:10 am, René Doß wrote: > > > I have a question. What is the range of integer in GHDL? type integer is range -2147483648 to 2147483647; > This works (wert>2^31): > signal wert: std_logic_vector(31 downto 0):= X""; > signal a: integer:=

Re: [Ghdl-discuss] Include libraries in GHDL

2015-11-24 Thread David Koontz
> On 25/11/2015, at 5:33 am, João Malés wrote: > > I'm using GHDL to simulate some designs that I'm doing. Now, I included the > float_pkg package to work with floats in Sigasi but when I'm simulating in > GHDL it states that "primary unit "float_pkg" not found in

Re: [Ghdl-discuss] Switching to git

2015-11-18 Thread David Koontz
> On 19/11/2015, at 7:56 am, Tristan Gingold wrote: > > https://github.com/tgingold/ghdl > >> - removing the old hg repo. > > Will remove the old hg repo later. The releases (0.31 - 0.33) don’t show up as branches. (I lament the loss of the Revision column in SourceTree,

Re: [Ghdl-discuss] Switching to git

2015-11-18 Thread David Koontz
> On 19/11/2015, at 8:28 am, Tristan Gingold <tging...@free.fr> wrote: > > On 18/11/15 20:09, David Koontz wrote: >> >>> On 19/11/2015, at 7:56 am, Tristan Gingold <tging...@free.fr> wrote: >>> >>> https://github.com/tgingold/ghdl >

Re: [Ghdl-discuss] Next release 0.33

2015-09-30 Thread David Koontz
Is this one of those ‘Doctor it hurts when I do that’ moments? originally defined in grt_sigsegv_handler() found in linux.c: ucontext_t *uctxt = (ucontext_t *)ptr; Note the comment on line 88 of jumps.c saying grt_sigsegv_hander() is only used by mcode. Without more research I couldn’t tell

Re: [Ghdl-discuss] I want report a bug

2015-08-27 Thread David Koontz
OS X gives the same results: david_koontz@Macbook: make rm -rf work mkdir work ghdl -a --work=work --workdir=work sim_pkg.vhd gcc -c -fPIC sim.c -o sim.o ghdl -a --work=work --workdir=work tb_cosim.vhd GHDL Bug occured Please report this bug on

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread David Koontz
On 9/06/2015, at 10:33 am, Lehmann, Patrick patrick.lehm...@tu-dresden.de wrote: Here are further tests: ghdl 0.31 on windows: C:\Tools\GHDL\0.31\bin\ghdl.exe: poc-obj93.cf: bad library format ghdl 0.32 on windows: C:\Tools\GHDL\0.32\bin\ghdl.exe: poc-obj93.cf: bad library format

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread David Koontz
On 9/06/2015, at 8:15 am, Tristan Gingold tging...@free.fr wrote: On 08/06/15 21:55, Lehmann, Patrick wrote: Hello, I got an error message that says handling of floating point literals in physical literals is not supported. I can change my code, because it’s just a ‘100.0 MHz’ constant.

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread David Koontz
On 9/06/2015, at 11:10 am, Lehmann, Patrick patrick.lehm...@tu-dresden.de wrote: so ignore my tests on ghdl 0.31 and 0.32rc1 on windows. I cannot test it without many changes to my environment ... ghdl uses the analyzed libs from 0.33dev :( You can test it without your library: package

Re: [Ghdl-discuss] Optimization of the generics at compile-time

2015-05-07 Thread David Koontz
On 8/05/2015, at 5:29 am, Tristan Gingold tging...@free.fr wrote: gcc already generates good code for ieee packages, but that's not enough. We could avoid recomputing bounds for these functions, as they could be deduced at compile-time for most cases. This seems a bit unclear, subprogram

Re: [Ghdl-discuss] --time-resolution

2015-04-06 Thread David Koontz
On 7/04/2015, at 6:55 am, Paul Koning paulkon...@comcast.net wrote: On Apr 6, 2015, at 2:48 PM, Tristan Gingold tging...@free.fr wrote: On 05/04/15 18:03, Adam Jensen wrote: ... With the time-resolution fixed to femto seconds, the total simulation time is limited to about 2.6 hours.

Re: [Ghdl-discuss] Instantiate component with floating pin

2015-01-12 Thread David Koontz
On 13/01/2015, at 9:37 am, Tristan Gingold tging...@free.fr wrote: Indeed, GHDL is correct. See LRM93 4.3.2.2 Association lists: Furthermore, every scalar subelement of the explicitly declared interface object must be associated exactly once with an actual (or subelement thereof) in the

Re: [Ghdl-discuss] IEEE library license (was: Re: Release 0.32 - debian package)

2014-11-04 Thread David Koontz
On 5 Nov 2014, at 2:55 pm, why...@f-cpu.org wrote: These limitations are no practical problem for ghdl itself, just for inclusion in Debian with its high standard of software freeness. That is if the copyright on the spec files is actually relevant. That's my opinion too. I'll reserved

Re: [Ghdl-discuss] An Eclipse IDE for GHDL with GTKWAVE integrated

2014-07-22 Thread David Koontz
On 22 Jul 2014, at 9:32 pm, Nicole Fontenot robwob...@gmail.com wrote: Give me some time to look over the Exuberant Ctags code-base and I'll see what I can do. Can there be some general discussion with, exactly what are the shortcomings of the current parser: Is there a problem with ctags

Re: [Ghdl-discuss] Release 0.31

2014-01-08 Thread David Koontz
On 8 Jan 2014, at 9:34 pm, tging...@free.fr wrote: It wouldn't hurt to have a wiki page on how to do -2008 features in ghdl. Something like: http://sourceforge.net/p/ghdl-updates/wiki/RoadMap2008/ No something that actually tells how to get the 2008 libraries into a useable state.

Re: [Ghdl-discuss] Release 0.31

2014-01-07 Thread David Koontz
On 8 Jan 2014, at 12:33 pm, Brian Drummond br...@shapes.demon.co.uk wrote: At last I think I have it... There are now two branches; ghdl-0.31 and default. After cloning the repo (hg clone) or pulling changes to an existing one, hg update ghdl-0.31 will select the stable 0.31 branch,

Re: [Ghdl-discuss] Release 0.31

2014-01-07 Thread David Koontz
On 8 Jan 2014, at 1:03 pm, David Koontz diogra...@gmail.com wrote: On 8 Jan 2014, at 12:33 pm, Brian Drummond br...@shapes.demon.co.uk wrote: At last I think I have it... There are now two branches; ghdl-0.31 and default. After cloning the repo (hg clone) or pulling changes

Re: [Ghdl-discuss] Release 0.31

2014-01-06 Thread David Koontz
On 7 Jan 2014, at 11:13 am, Brian Drummond br...@shapes.demon.co.uk wrote: I have also added the tag 0.31rc1 (Tristan, should I just re-tag as 0.31?) As soon as you do I'll build an OS X version. ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org

Re: [Ghdl-discuss] GHDL on FreeBSD with gcc-aux

2014-01-02 Thread David Koontz
On 3 Jan 2014, at 1:37 pm, Adam Jensen han...@riseup.net wrote: So far, my various attempts to build GHDL generally results in errors like: gmake[2]: *** No rule to make target `../gcc/gtype-vhdl.h', needed by `ortho-lang.o'. Stop. or: gcc -g -O2 -DIN_GCC -W -Wall -Wno-narrowing

Re: [Ghdl-discuss] ghdl-updates / Wiki / build mcode ghdl

2013-12-27 Thread David Koontz
On 28 Dec 2013, at 11:03 am, Brian Drummond br...@shapes.demon.co.uk wrote: Thanks for the corrections - the important thing is, Torsten has a result. - Brian I thought about calling you Brain again, but bit my tongue. ___ Ghdl-discuss mailing

Re: [Ghdl-discuss] ghdl-updates / Wiki / build mcode ghdl

2013-12-27 Thread David Koontz
On 28 Dec 2013, at 10:07 am, Torsten Meißner tors...@meissneronline.net wrote: Yeah, installing the ada-4.4 version from the corrected link fixed my problems. Thanks for your help, i really appreciate :) As soon as I saw that darwin8 I knew it was my fault. It didn't help someone built

Re: [Ghdl-discuss] Building GHDL-mcode on OSX 10.9 fails

2013-12-26 Thread David Koontz
On 26 Dec 2013, at 10:42 pm, tging...@free.fr wrote: I think this version of gnat is slightly too old, that's the reason why you need to link with -no_compact_unwind. Adacore unfortunately doesn't make a newer i386 gnat available any longer. You should be able to re-link with the

Re: [Ghdl-discuss] Building GHDL-mcode on OSX 10.9 fails

2013-12-26 Thread David Koontz
On 27 Dec 2013, at 1:36 am, tging...@free.fr wrote: gnatlink -no_compact_unwind ghdl_mcode.ali -g memsegs_c.o chkstk.o i386.o linux.o times.o grt-cbinding.o grt-cvpi.o No, you should write -Wl,-no_compact_unwind. Oh I get it, pass the flag to the loader. david_koontz@Macbook: gnatlink

Re: [Ghdl-discuss] Building GHDL-mcode on OSX 10.9 fails

2013-12-26 Thread David Koontz
On 27 Dec 2013, at 2:46 am, Torsten Meißner tors...@meissneronline.net wrote: default_pathes.ads:29:80: (style) this line is too long gnatmake: default_pathes.ads compilation error make: *** [ghdl_mcode] Error 4 Maybe this can be workaround by building ghdl in a shorter directory path,

[Ghdl-discuss] ghdl-updates / Wiki / build mcode ghdl

2013-12-26 Thread David Koontz
https://sourceforge.net/p/ghdl-updates/wiki/build%20mcode%20ghdl/ I added a caution about line lengths for default_pathes.ads in the second paragraph, and in the make command to build ghdl_mcode for OS X, added the linker switch: # Mac OS X # /usr/bin/make target=i686-darwin

Re: [Ghdl-discuss] First play with the testsuite...

2013-12-26 Thread David Koontz
On 27 Dec 2013, at 4:41 pm, tging...@free.fr wrote: Argh, I think that's now done: the vests test suite is clean for me. Do not hesitate to second check. So how about a wiki page with the results? ___ Ghdl-discuss mailing list

Re: [Ghdl-discuss] configure for GHDL OS X

2013-12-24 Thread David Koontz
On 25 Dec 2013, at 2:09 am, René Doß d...@gmx.de wrote: This could likewise go into a makefile with the exception that wget isn't native to OS X. wget is also available for MAC OS. I think it is simplier to install wget as to find out what is wrong in the deep of gcc. This makefile can

Re: [Ghdl-discuss] Seeking comments on my cloud based verification ide

2013-12-23 Thread David Koontz
On 23 Dec 2013, at 8:40 pm, tging...@free.fr wrote: I think that ghdl is compliant with VHDL 1993 and 2002. That's modulo bugs, but I think that all features are implemented. If not, do not hesitate to raise issues. The issue isn't features (syntax), it's compliance (semantics). library

Re: [Ghdl-discuss] Seeking comments on my cloud based verification ide

2013-12-23 Thread David Koontz
On 23 Dec 2013, at 8:40 pm, tging...@free.fr wrote: I think that ghdl is compliant with VHDL 1993 and 2002. That's modulo bugs, but I think that all features are implemented. If not, do not hesitate to raise issues. entity label_test is generic (MODE: natural range 0 to 1 := 1); end

Re: [Ghdl-discuss] Seeking comments on my cloud based verification ide

2013-12-22 Thread David Koontz
On 23 Dec 2013, at 4:40 am, Neeraj Yadav neer4j.iit.de...@gmail.com wrote: I have made a small cloud based verilog/vhdl simulator which uses GHDL and Icarus for VHDL and Verilog respectively. http://www.tarangeda.com I want comments of ghdl developers, anything you want to say. Makes a

Re: [Ghdl-discuss] Cross building/configure for GHDL

2013-12-21 Thread David Koontz
On 22 Dec 2013, at 6:58 am, Martin Strubel hack...@section5.ch wrote: Now, pondering about the clean top-down way cross-compile-approach, I think this would turn out in quite a nightmare, at least for mingw. Obstacles: The mingw runtime isn't part of GCC, neither is the win32API, and making

Re: [Ghdl-discuss] Cross building/configure for GHDL

2013-12-21 Thread David Koontz
On 22 Dec 2013, at 8:22 am, Rene Doß d...@gmx.de wrote: Iam back again, I miss the output file?!! Also the option -wave is unknown. --wave ? ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org https://mail.gna.org/listinfo/ghdl-discuss

Re: [Ghdl-discuss] configure for GHDL OS X

2013-12-21 Thread David Koontz
I've also built the gcc version of ghdl for OS X (10.8/10.9/10.9.1) see https://sourceforge.net/p/ghdl-updates/wiki/OS%20X%20gcc%20ghdl%20build%20instructions/ Instructions for building GHDL (version 0.31dev) with GCC4.8.2 on OS X 10.9 This could likewise go into a makefile with the exception

Re: [Ghdl-discuss] Cross building/configure for GHDL

2013-12-18 Thread David Koontz
I got curious and looked around for a mingw build environment for a cross compiler that would lend itself to building ghdl. It turns out Debian has mingw Linux hosted build environments using gcc-4.6.3 and -4.7.2. You'd expect a 4.8.2 based mingw soon. Looking a little further shows Drangon

Re: [Ghdl-discuss] Cross building/configure for GHDL

2013-12-17 Thread David Koontz
On 18 Dec 2013, at 1:42 am, Martin Strubel hack...@section5.ch wrote: Now for something completely different: I've been messing around with the build process a few months ago to enable cross compilation for GHDL. Since you seem to rather have the global picture in current development, I'd

Re: [Ghdl-discuss] gcc 4.8.1

2013-12-15 Thread David Koontz
On 16 Dec 2013, at 5:14 am, Rene Doß d...@gmx.de wrote: red@linux-x6qx:~/ghdl/code/translate/gcc ./dist.sh ./dist.sh: Zeile 90: ghdl-0.31dev/vhdl/Makefile.in: Datei oder Verzeichnis nicht gefunden red@linux-x6qx:~/ghdl/code/translate/gcc Some german words are in the output. I translate

Re: [Ghdl-discuss] gcc 4.8.1

2013-12-15 Thread David Koontz
On 16 Dec 2013, at 8:12 am, Rene Doß i...@dossmatik.de wrote: Hallo David, First, I thought you have forgot to commit a file in mercury. ./dist.sh sources runs currectly without any bad comment. After I have the file ghdl-0.31dev.tar.bz2 im my directory. Good. I had better read

[Ghdl-discuss] How to build Linux ghdl mode version, where to find a binary distribution.

2013-12-02 Thread David Koontz
The build script is derived from building ghdl_mcode on OS X and uses an svn download to obtain ghdl from the gna.org repository. It expects a gnat and gcc in the search path that can generate a 32 bit executable without modifying Makefiles. The mcode version of ghdl is a compiled program

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-28 Thread David Koontz
On 29 Nov 2013, at 5:39 am, Adrien Prost-Boucle adrien.prost-bou...@laposte.net wrote: - The genarated circuits are intended to be synthesizable (and I synthesized many other generated apps, analyzed hardware resource usage, built resource usage estimators in the HLS tool, successfully

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-28 Thread David Koontz
On 29 Nov 2013, at 8:39 am, Brian Drummond br...@shapes.demon.co.uk wrote: So I think this one is worth reporting on https://gna.org/bugs/?group=ghdl with the note that the mcode version bypasses gcc's memory-hungry optimisation passes. (Makes me wonder if it's possible to build the

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-28 Thread David Koontz
On 29 Nov 2013, at 12:34 pm, Adrien Prost-Boucle adrien.prost-bou...@laposte.net wrote: First, for each oy you who tried to work on my vhd files, I'm ver sorry about the impossible line lengths!!! Indeed vhd files need to remain humanly readable and editable (I've myself read and manually

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-27 Thread David Koontz
On 28 Nov 2013, at 6:38 am, Adrien adrien.prost-bou...@laposte.net wrote: As David Koontz suggested, I chose to append others = (others = '0') to the list of values, and it works. Thanks a million David for your great answer. I learnt something useful:) HOWEVER... When I say it works

Re: [Ghdl-discuss] GHDL built with gcc4.8.2

2013-11-26 Thread David Koontz
On 27 Nov 2013, at 4:46 am, Brian Drummond br...@shapes.demon.co.uk wrote: I have managed to get GHDL to build with gcc4.8.2 as both the compiler and the sources. Instructions (README), build script and patch attached. Testing so far shows no problems, including the simple OSVVM demo.

Re: [Ghdl-discuss] --stop-delta error when simulating

2013-05-30 Thread David Koontz
On 31 May 2013, at 9:02 AM, Jon Taylor dosad...@gmail.com wrote: Hi all, I am encountering a wierd error when simulating a design using GHDL, and I just wanted to ask if anyone else out there has seen this before. When I run my simulations, I get this after a while: error: simulation

Re: [Ghdl-discuss] Debian package maintainer has retired

2013-05-26 Thread David Koontz
On 27 May 2013, at 10:04 AM, Svenn Are Bjerkem svenn.bjer...@googlemail.com wrote: Sometimes it is better to move the troubled kid out of the community. Having a clean-room version of the gcc version compatible with ghdl in a location where apt-get cannot touch it seems very much a way

[Ghdl-discuss] vhdl - GHDL 0.29 for Windows hangs if the number of statements in a process is even - Stack Overflow

2013-05-10 Thread David Koontz
GHDL 0.29 for Windows hangs if the number of statements in a process is even on stackoverflow. The three test cases work with the -r150 svn ghdl, plus Brian Drummond's OSVVM library patch file #17858: osvvm_for_svn_r150.patch compiling as an mcode version under OS X. (gna has an outdated

Re: [Ghdl-discuss] Calling functions written in VHDL from a linked C-language-compiled binary

2013-04-13 Thread David Koontz
On 13 Apr 2013, at 9:28 PM, René Doß d...@gmx.de wrote: first Davids changed code works. This is nices. equiv_process: process begin global = get_global(2); wait until board_clk'event and board_clk = '1'; end process equiv_process: The wait reactivate the process. The

Re: [Ghdl-discuss] Missing directories in SVN

2013-04-11 Thread David Koontz
On 12 Apr 2013, at 6:10 AM, Jon Taylor dosad...@gmail.com wrote: Woops, I guess everything is in the SVN repository after all - I didn't notice the translate/ directory for some reason (I was looking for the GRT code). But the tarball does seem to be missing the doc/, libraries/, ortho/,

Re: [Ghdl-discuss] GNAT 2012 build of latest SVN on Mac OS X 10.8

2013-01-30 Thread David Koontz
was actually quite simple, and I was able to build from the SVN checkout, using the basic method given by David Koontz, but of course for x86_64: svn co svn://svn.gna.org/svn/ghdl/trunk ghdl cd ghdl/translate/ghdldrv make target=x86_64-apple-darwin ln -s ghdl_mcode ghdl make target=x86_64-apple

Re: [Ghdl-discuss] Displaying converted Integers to Real fails on amd64-machines with gtkwave = 3.3.19

2012-05-04 Thread David Koontz
On May 5, 2012, at 4:43 AM, byb...@nc.rr.com byb...@nc.rr.com wrote: Fixed in SVN revision 620. Thanks for reporting this. I've also just uploaded a fixed OSX gtkwave.zip app bundle (candidate for 3.3.36) onto the main page at the gtkwave.sourceforge.net website. -Tony Thanks Tony.

Re: [Ghdl-discuss] Displaying converted Integers to Real fails on amd64-machines with gtkwave = 3.3.19

2012-05-04 Thread David Koontz
./t 8.5615 8.5614999 8.5615 8.5615001 David Koontz diogra...@gmail.com wrote: On May 5, 2012, at 4:43 AM, byb...@nc.rr.com byb...@nc.rr.com wrote: Fixed in SVN revision 620. Thanks for reporting this. I've also just uploaded a fixed OSX gtkwave.zip app

Re: [Ghdl-discuss] Displaying converted Integers to Real fails on amd64-machines with gtkwave = 3.3.19

2012-05-03 Thread David Koontz
I get a 0 unchanging in a 3.3.29 gtkwave.app I got from the gtkwave sourceforge site using Mac OS X 10.7.3 (Lion, x86_64). Using ghwdump it appears the reals are being declared to the correct value at the proper times. ghwdump gives us an independent view of the contents of the ghw waveform

Re: [Ghdl-discuss] message warning

2012-04-03 Thread David Koontz
On Apr 4, 2012, at 6:06 AM, René Doß wrote: I have a wish. More information on warning. I get the Information of the library. For me it is important which signal in my code is in trouble. I need a signal name in my code. Can you also print out the higher instance name? I would extremely

Re: [Ghdl-discuss] Need information and task

2012-01-25 Thread David Koontz
On Jan 26, 2012, at 1:46 AM, why...@f-cpu.org wrote: Could somebody make a mirror that is easily accessible ? Everyone complains about the weather but no one does anything about it. ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org

Re: [Ghdl-discuss] Using ghdl together with the Xilinx ISE tool chain

2012-01-15 Thread David Koontz
See addendum at bottom. On Jan 8, 2012, at 6:14 PM, David Koontz wrote: On Jan 6, 2012, at 6:48 PM, David Koontz wrote: While technically challenging, a rather dry subject. Getting to the actual error: On Jan 6, 2012, at 6:48 PM, David Koontz wrote: Second, test_timesim.vhd

Re: [Ghdl-discuss] postfitting timing simulation with XIlinx

2012-01-15 Thread David Koontz
On Jan 14, 2012, at 11:10 PM, tging...@free.fr wrote: Part of the understanding required to implement the semantic restrictions therein would be understanding what is meant by discrete range and whether di'length-1 is valid as a bound of a discrete range. No, because of: --

Re: [Ghdl-discuss] teaching with GHDL -- VHPI an VHDL-2008

2012-01-15 Thread David Koontz
On Jan 14, 2012, at 11:09 PM, Walter F.J. Mueller wrote: I put it a little wider: - when ghdl wants to survive on the long run it has to implement also the major vhdl-2008 language features in the future. - by the time vhdl-2008 is supported by synthesis tools one wants to use some of

Re: [Ghdl-discuss] teaching with GHDL

2012-01-12 Thread David Koontz
On Jan 13, 2012, at 1:03 AM, Dr. Douglas Lyon wrote: The compiler should probably be ported to something like Java, then VHDL could be a write once, run anywhere type language, and that would be a hoot. How about porting it to hardware? Should be small enough to run on a smallish FPGA, but

Re: [Ghdl-discuss] GHDL Mailing list

2012-01-12 Thread David Koontz
On Jan 13, 2012, at 2:05 AM, Ben Gasch wrote: Bonjour, Pourriez vous me retirer de la mailing list. Je ne souhaite plus recevoir les discussions. Merci d'avance. Benoit Gasch ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org

Re: [Ghdl-discuss] Building GHDL on top of a newer GCC - OS X

2012-01-12 Thread David Koontz
On Jan 13, 2012, at 2:46 AM, Dave Webb wrote: Hi all, On Thu, Jan 12, 2012 at 2:01 PM, David Koontz diogra...@gmail.com wrote: You're github ghdl looks like it may not be up to date: ../../untar/gcc-4.6.0/gcc/vhdl/ortho-lang.c:766: parse error: GTY must be specified before identifier I

Re: [Ghdl-discuss] Building GHDL on top of a newer GCC

2012-01-11 Thread David Koontz
On Jan 11, 2012, at 5:20 AM, Dave Webb wrote: Hi all, https://github.com/nickg/ghdl/tree/nick I just forked that https://github.com/davewebb8211/ghdl and pushed my changes. At least it compiles with gcc-4.6.0. As mentioned before, it compiles but now I'm stuck at make install.all in

Re: [Ghdl-discuss] Building GHDL on top of a newer GCC

2012-01-11 Thread David Koontz
On Jan 11, 2012, at 12:16 AM, Martin Strubel wrote: I've run some of the code through Doxygen, see Doxyfile at http://section5.ch/files/ghdl.Doxyfile.gz Just copy to ghdl source tree, gunzip, rename to Doxyfile, run doxygen. There's some abuse going on by mapping .adb files to VHDL code,

Re: [Ghdl-discuss] Using ghdl together with the Xilinx ISE tool chain -- sdf parser and usage of rtriple

2012-01-07 Thread David Koontz
On Jan 8, 2012, at 6:49 AM, Walter F.J. Mueller wrote: From all that I conclude that the sdf parser in ghdl seems to have some problems with the proper treatment of RNUMBER and rtriple and seems to require rtriple's even though the SDF spec clearly does not require that. I haven't generated

Re: [Ghdl-discuss] Using ghdl together with the Xilinx ISE tool chain

2012-01-07 Thread David Koontz
On Jan 6, 2012, at 6:48 PM, David Koontz wrote: While technically challenging, a rather dry subject. Getting to the actual error: On Jan 6, 2012, at 6:48 PM, David Koontz wrote: Second, test_timesim.vhd:133:3:warning: component instance clk_bufgp_bufg is not bound Is going

Re: [Ghdl-discuss] Building GHDL on top of a newer GCC

2012-01-07 Thread David Koontz
On Jan 8, 2012, at 7:03 AM, Wesley J. Landaker wrote: Hey Tristan other GHDL folks, Has anyone made any progress getting GHDL ported up to a build on and/or with a newer GCC? I'm working on updating the GHDL Debian package. Currently it is built on gcc-core-4.3.4 as a base, with

Re: [Ghdl-discuss] Using ghdl together with the Xilinx ISE tool chain

2012-01-07 Thread David Koontz
On Jan 6, 2012, at 6:48 PM, David Koontz wrote: While technically challenging, a rather dry subject. Getting to the actual error: On Jan 6, 2012, at 6:48 PM, David Koontz wrote: Second, test_timesim.vhd:133:3:warning: component instance clk_bufgp_bufg is not bound Is going

Re: [Ghdl-discuss] Using ghdl together with the Xilinx ISE tool chain

2012-01-05 Thread David Koontz
On Jan 6, 2012, at 12:17 PM, Walter F.J. Mueller wrote: on ghdl-discuss in July 2007. Unfortunately all written there still holds, one gets an error like tb_x:error: x_tsim.sdf:9:16: ':' (colon) expected and ghdl aborts. ghdl doesn't accept the sdf files generated by the ISE tool

Re: [Ghdl-discuss] postfitting timing simulation with XIlinx

2012-01-02 Thread David Koontz
On Jan 3, 2012, at 7:10 AM, René Doß wrote: That was a good hint, rm -rf work mkdir work ghdl -i *.vhd ghdl -i --work=simprim /opt/Xilinx/13.1/ISE_DS/ISE/vhdl/src/simprims/*.vhd ghdl -i --work=simprim /opt/Xilinx/13.1/ISE_DS/ISE/vhdl/src/simprims/primitive/mti/*.vhd ghdl -i

Re: [Ghdl-discuss] postfitting timing simulation with XIlinx

2012-01-02 Thread David Koontz
On Jan 3, 2012, at 7:10 AM, René Doß wrote: ghdl -i --work=simprim /opt/Xilinx/13.1/ISE_DS/ISE/vhdl/src/simprims/primitive/mti/*.vhd ghdl -i --work=simprim /opt/Xilinx/13.1/ISE_DS/ISE/vhdl/src/simprims/primitive/other/*.vhd And I take it you're aware that anything in the mti directory

Re: [Ghdl-discuss] postfitting timing simulation with XIlinx

2011-12-29 Thread David Koontz
On Dec 30, 2011, at 8:41 AM, René Doß wrote: Hallo, my functional simulation runs but on my FPGA I get trouble. Now I want to research the mistake. For this I want to start a post fitting simulation. I have in the subdirectory /ise/netgen/map all files what I need. the sdf file and

Re: [Ghdl-discuss] Ghdl does nothing. (Looks like a bug)

2011-12-13 Thread David Koontz
On Dec 13, 2011, at 11:26 PM, Serguey Zefirov wrote: Thank you very much. Will code in runningSumMaybes_1 remain synthesable if I add my own add(l,r) and change code along your lines? The numeric_bit or numeric_std library is just behavior. The meaning of what you create by synthesis is

Re: [Ghdl-discuss] My real values are not updated according to gtkwave

2011-12-04 Thread David Koontz
On Nov 30, 2011, at 1:04 AM, Svenn Are Bjerkem wrote: Hi, I am simulating some code involving reals. When I use ghdl and dump the data to ghw and inspect with gtkwave, the adc_real(i) values get updated twice and then never more. When simulating with modelsim, I see that the same code

Re: [Ghdl-discuss] My real values are not updated according to gtkwave

2011-12-04 Thread David Koontz
On Dec 5, 2011, at 11:01 AM, Svenn Are Bjerkem wrote: Ok, It could have been something wrong with my code or some feature not implemented which caused the observation. Taken that it needs further investigation, I will take the effort to create a gna user and report the incident in a more

Re: [Ghdl-discuss] A bug.

2011-11-19 Thread David Koontz
On 18/11/2011, at 6:09 AM, Serguey Zefirov wrote: It said a.vhd:10:21: no matching resolution function for 'x_res'. If I delete subtype x is rec; line and make x a record instead of subtype, all went fine. This is clearly a bug. I'm afraid to see your resolution function with 3 or more

Re: [Ghdl-discuss] ghdl and ghdl.free.fr

2011-09-29 Thread David Koontz
On 30/09/2011, at 8:35 AM, Nathan Moore wrote: Hey, I saw that you are one of the developers for ghdl, and I was wondering how/where I could get the Win32 install for ghdl. ghdl.free.fr seems to be gone, and I haven't been able to locate it elsewhere. I'm sorry to e-mail you directly, but